NIOS II 相关资料以及基础入门
《NiosII的奇幻漂流》
《Nios II那些事儿》
本文所有的硬件基础以及工程参考来自魏坤示波仪,重新实现驱动并重构工程。
基于NIOS II的示波器实现
Version 0.1 按键驱动&显示屏驱动&界面实现
Part 0.1.0 硬件准备
由于屏幕会挡住烧录口,这里飞线以供调试。
Part 0.1.1 创建Quartus工程
软核部分:利用SOPC Builder建立kernel,软核具体配置如下
- 由于显示芯片利用的
ILI9481
,这里利用LCD前缀字样PIO口与LCD芯片通信,利用、PWM_LED
控制LCD的亮度 - 利用
KEY_PORT
读取按键的输入
这里待补全各组件参数设置
- 由于显示芯片利用的
顶层图
其中
SYS_PLL
输出S0
S1
均为100MHZ
的时钟信号而
pwm_controller
则通过计数器控制屏幕的亮度,代码如下library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity pwm_controller is port( clk:in std_logic; pwm_led_in:in std_logic_vector(13 downto 0); pwm_led_out:out std_logic ); end pwm_controller; architecture pwm_arch of pwm_controller is signal counter:std_logic_vector(13 downto 0); begin process(clk) begin if(clk'event and clk = '1') then if(counter >= pwm_led_in) then pwm_led_out<= '0'; else pwm_led_out<= '1'; end if; counter <= counter + 1; end if; end proce