SV基本语句

一、while语句;

         do begin
                 @top_vif.mon_cb;                                       

                uvm_hdl_read("tb.DUT.done",done);
         end while(done==0);

二、枚举 case语句;

        typedef enum {INT,FS_INT,FS_ERR} int_type_e;

        virtual task wait_signal(int_type_e int_type);
            forever begin
                `uvm_info("wait_int", $sformatf("Waitting int assert..."), UVM_LOW)
                case(int_type)
                    INT:    
@(posedge top_vif.a);
                    FS_INT:  @(posedge top_vif.b);
                    FS_ERR:  @(posedge top_vif.c);
                    default: `uvm_error("wait_int", $sformatf("invalid int_type %s ",int_type))
                endcase
                `uvm_info("wait_signal", $sformatf("Int asserted..."), UVM_LOW)
                @top_vif.mon_cb;
            end
    endtask :wait_signal

三、宏定义传参;

        `define int_raw_data(index,write_data) \

                if(``index``==0)begin \                                                             reg_model.isp_be_cfg_ral.INT_RAW.write(status,``write_data``,UVM_FRONTDOOR); \ 
                end 

         `int_raw_data(index,write_data)

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值