自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1323)
  • 资源 (13)
  • 收藏
  • 关注

原创 FIR 滤波器设计

与无限持续时间脉冲响应 (IIR) 滤波器相比,具有有限持续时间脉冲响应的数字滤波器(全零或 FIR 滤波器)既有优点又有缺点。FIR 滤波器具有以下主要优点:它们可以具有精确的线性相位它们始终稳定设计方法通常是线性的它们可以在硬件中高效实现滤波器启动瞬态具有有限持续时间。。相应地,这些滤波器的延迟通常比同等性能的 IIR 滤波器大得多。滤波器设计方法说明滤波器函数加窗对指定的矩形滤波器的截断傅里叶逆变换应用加窗多频带(包含过渡带)对频率范围的子带使用等波纹或最小二乘方法。

2022-07-18 10:00:00 8817 7

转载 xilinx FPGA 固化代码流程

XilinxVIVADO固化流程 纯verilog工程,不涉及SDK代码的固化流程: 综合,实现,生成比特流后,点击Tools—Generate Memory Cinfiguration File… 如图,选择所用FLASH的类型,设置生成mcs文件名,选择生成的比特流文件,文件保存路径自动显示为如图。板子上电,连好JTAG,Open Target后,点击Add Configuration Memory Device如图,...

2022-05-23 09:34:17 2449 2

原创 MATLAB中readmatrix函数用法

readmatrix函数的功能是从文件中读取矩阵。

2020-12-25 14:08:25 28566 4

原创 QuartusII安装器件库及遇到的问题解决

最近由于学习soc FPGA,需要Cyclone V的器件,于是就打开自己安装的QuartusII 18.0版本的软件,在器件选择的时候发现没有安装这个器件,于是到Intel FPGA官网去下载器件库,这里给出下载库的地址:器件库下载地址:https://fpgasoftware.intel.com/?edition=standard打开网址之后可以根据自己的需求下载需要的所需要的器件库文件,下载器件库文件的时候一定清楚自己安装的是什么类型版本以及版本的QuartusII软件,如图示所示: ..

2020-07-03 10:53:13 25874 10

原创 Matlab中lsim函数使用

lsim函数:lsim函数是针对线性时不变模型,给定任意输入,得到任意输出。lsim函数表示任意输入函数的响应,连续系统对任意输入函数的响应可以利用lsim函数求取。语法(常用):1.分子分母形式lsim(num,den,u,t)2.传递函数形式lsim(sys,u,t)3.状态空间形式lsim(A,B,C,D,u,t)其中,u为由给定输入序列构成的矩阵,它的每列对应一个输入,每行对应一个新的时间点,其行数与时间t的长度相等,其它的用法与step函数相同。...

2020-05-22 15:16:32 83627 1

原创 MATLAB中isa函数用法

如果 A 具有 dataType 指定的数据类型,tf = isa(A,dataType) 将返回 1 (true)。如果 A 的数据类型属于 typeCategory 指定的类别,则 tf = isa(A,typeCategory) 返回 1 (true)。如果 A 是对象且 dataType 是 A 的类或 A 的超类,则 isa 返回 1。如果 A 是对象且 A 的类或 A 的任何超类属于指定的类别,则 isa 返回 1。要测试输入数组是否包含任何虚数或复数元素,请使用 ~isreal(A)。

2024-09-25 08:33:34 229

原创 MATLAB中isgraphics函数用法

对于属于由 type 参数所指定类型的有效图形对象的 H 元素,tf = isgraphics(H,type) 返回 true。对象类型是对象的 Type 属性中包含的值。tf = isgraphics(H) 为 H 中属于有效图形对象的元素返回 true,为不是有效图形对象或是已删除图形对象的元素返回 false。值 1 表示输入数组中的有效元素,值 0 表示无效元素。当输入数组为空时,结果为空数组。与其他图形对象串联并测试句柄是否为Line类型。对象的类型是其 Type 属性的值。

2024-09-24 08:28:30 263

原创 MATLAB中class函数用法

在 MATLAB® 7.6(未通过 classdef 语句定义的类)之前的类定义中,类构造函数调用 class 函数以创建对象。以下 class 函数语法仅在版本 7.6 之前定义的类内应用。obj = class(s,ClassName) 将 struct s 用作确定 obj 大小的模式,创建一个由指定类的对象构成的数组。obj = class(s,ClassName,parent1,parent2,...) 继承指定的父对象的方法和字段。父对象的大小必须与 s 的大小匹配或为标量 (1×1)

2024-09-23 08:32:10 330

原创 MATLAB中isfloat函数用法

输入数组,指定为标量、向量、矩阵或多维数组。MATLAB® 中的浮点类型包括 single 和 double(以及 single 和 double 的子类)。如果 A 是浮点数组,tf = isfloat(A) 返回 true,否则返回 false。浮点类型是 single 和 double。

2024-09-22 09:36:18 277

原创 MATLAB中isnumeric函数用法

MATLAB® 中的数值类型包括:int8、int16、int32、int64、uint8、uint16、uint32、uint64、single 和 double。如果 A 是数值数据类型的数组,则 TF = isnumeric(A) 返回逻辑值 1 (true)。检查它是否为数值类型。检查该数组是否为数值类型。检查该数组是否为数值类型。确定一个包含无穷大或非数字值的数组是否为数值类型。该元胞数组不是数值类型,因为它是元胞类型。确定一个包含浮点数的数组是否为数值类型。确定一个实数是否为数值类型。

2024-09-21 11:47:19 358

原创 MATLAB中rcond 函数用法

rcond 函数的功能是用于计算矩阵的倒数条件数(reciprocal condition number)。

2024-09-20 08:33:00 314

原创 MATLAB中double函数用法

此外,对使用双精度输入的双精度变量和函数执行的运算(例如 + 或 sin)通常返回双精度值。double 类型的负数的范围在 -1.79769×10308 到 -2.22507×10-308 之间,正数的范围在 2.22507×10-308 到 1.79769×10308 之间。​如果有不同数据类型(如 single 或 int8)的数组,则可以使用 double 函数将该数组转换为双精度,然后以更高的精度存储数组以供进一步计算。与双精度相比,单精度值的范围更小,但需要的内存也更少。

2024-09-19 08:33:07 623

原创 MATLAB中typecast函数用法

例如,使用 typecast 将 16 位整数 1000 转换为 uint8 会以两个 8 位段(3 和 232)的形式返回完整的 16 位,从而保持原始值 (3*256 + 232 = 1000)。将整数从较小的数据类型 (uint8) 转换为较大的数据类型 (uint16)。Y = typecast(X,type) 将 X 的位模式转换为 type 指定的数据类型,而不更改基础数据。以十六进制表示形式显示位模式。将使用 4 个字节(32 位)存储的四个 8 位整数转换为也使用 4 字节存储的单精度数。

2024-09-18 08:38:57 726

原创 在基于线程的环境中运行 MATLAB 函数

MATLAB® 和其他工具箱中的数百个函数可以在基于线程的环境中运行。可以使用 backgroundPool 或 parpool("threads") 在基于线程的环境中运行代码。​要在后台运行函数,请使用 parfeval 和 backgroundPool。​​具体信息可以参考Choose Between Thread-Based and Process-Based Environments (Parallel Computing Toolbox)。

2024-09-17 13:43:09 544

原创 MATLAB中single函数用法

single函数的功能是返回单精度数组。

2024-09-15 22:29:59 295

原创 MATLAB中str2double函数用法

X = str2double(str) 将 str 中的文本转换为双精度值。如果 str 是字符向量元胞数组或字符串数组,则 X 是与 str 具有相同大小的数值数组。表示数值的文本可以包含数字、逗号(千位分隔符)、小数点、前导 + 或 - 符号、以 10 为缩放因子的幂前面的 e 以及复数单位的 i 或 j。将表示数值的字符向量转换为双精度值。您还可以将字符向量元胞数组转换为相同大小的数值数组。如果 str2double 不能将文本转换为数值,则它将返回 NaN 值。将字符向量元胞数组转换为数值数组。

2024-09-14 08:34:57 611

原创 使用实时编辑器任务清理杂乱数据并定位极值

可以使用实时编辑器任务序列以交互方式预处理数据,在每个步骤可视化数据。此示例使用五项任务来清理有缺失值和离群值的含噪数据,以便识别局部最小值和最大值。首先,创建并绘制一个由杂乱数据组成的向量,其中包含四个 NaN 值和五个离群值。要绘制杂乱的数据,请打开创建绘图任务。首先在代码块中键入关键字 plot,然后当 Create Plot 出现在菜单中时点击它。选择绘图类型和输入数据以绘制数据。要查看此任务生成的代码,请点击任务参数区域底部的展开任务显示。

2024-09-13 08:40:09 814

原创 MATLAB中swapbytes函数用法

swapbytes函数的功能交换字节顺序。

2024-09-12 08:32:16 262

原创 MATLAB中bdclose的用法

bdclose的功能是无条件地关闭任一或所有 Simulink 系统窗口。

2024-09-11 08:59:40 206

原创 MATLAB中who的用法

例如,who -file myFile.mat 列出名为 myFile.mat 的 MAT 文件中的所有变量的名称。在 MATLAB Online™ 中,要查看 MAT 文件的内容,请在文件浏览器中点击 MAT 文件右侧的预览按钮。例如,who A* S* 列出工作区中以 A 或 S 开头的所有变量的名称。MATLAB 显示嵌套的 get_date 函数以及包含该嵌套函数的所有函数中的变量的名称。列出示例 MAT 文件 durer.mat 中存储的变量的名称。列出指定的 MAT 文件中的变量名称。

2024-09-10 08:34:03 996

原创 以编程方式运行仿真

可以通过两种方法以编程方式仿真模型:通过 sim 命令。通过运行按钮使用 sim 命令执行仿真时,可以使用命令行对仿真执行操作。使用运行按钮执行仿真意味着您可以使用 Simulink® UI 与仿真进行交互,以执行任何其他操作。下表详细说明了这两种方法之间的差异。

2024-09-09 11:29:17 684

原创 MATLAB中sim函数的用法

sim函数的功能是对 Simulink 模型进行仿真。

2024-09-08 22:15:52 1134

转载 利用FPGA实现UDP网络高速可靠传输

2、ARP 是解决同一个局域网上的主机或路由器的IP地址和硬件地址的映射问题.若所要找的主机和源主机不在同一个局域网上,那么就要通过ARP找到一个位于本局域网上的某个路由器的硬件地址,然后把分组发送给这个路由器,让这个路由器把分组转发给下一个网络.剩下的工作就由下一个网络来做。1、若是点对点的数据传输,可以通过在FPGA内部初始化对应计算机的MAC地址、网络地址、端口号等必要的信息,而计算机也通过修改网络协议栈中的信息,把对应IP的信息由动态改为静态即可,也就是PC机IP-MAC绑定。

2024-09-07 22:23:12 54

原创 MATLAB中多项式积分的解析解

考虑实数不定积分被积函数是多项式,解析解是其中 k 是积分常量。由于没有指定积分限值,integral 函数族不太适合求解这个问题。

2024-09-06 08:26:33 256

原创 MATLAB中load_system用法

handle = load_system(sys) 将模型 sys 加载到内存中,而无需在 Simulink® 编辑器中打开模型。将模型加载到内存后,可以使用 Simulink API 命令对其进行处理。使用 save_system 保存对模型的更改。要搜索的系统,指定为完整的系统路径名称、系统路径名称元胞数组、句柄或句柄向量。将模型 vdp 加载到内存中并返回模型句柄。

2024-09-05 08:37:27 490

原创 MATLAB中cond函数用法

在这种情况下,大的条件数表示系数矩阵 A 中的微小变化会导致线性方程 Ax = b 和 xA = b 的输出 b 发生较大变化。如果 p = 1、Inf 或 'fro',则 cond 使用输入矩阵及其逆矩阵的适当范数通过 norm(A,p) * norm(inv(A),p) 计算条件数。矩阵的逆运算的条件数用于测量线性方程组的解对数据错误的敏感程度。计算 A 的逆矩阵,对 A 的第二行进行小小的改动,然后再次进行逆运算。返回 2-范数逆运算的条件数,等于 A 的最大奇异值与最小奇异值之比。

2024-09-04 08:34:23 529

原创 创建标准项目设置的模板

在项目中,当创建模板时,它包含当前项目的结构和所有内容,能够重复使用脚本和其他文件以进行标准项目设置。4.在项目选项卡中,选择文件部分,然后选择共享 > Simulink 模板,并使用对话框命名并保存文件。1.在创建模板之前,创建项目的副本,并编辑副本以仅包含您想要重复使用的文件。为了验证您的模板是否按预期运行,请创建一个新项目,该项目使用您的新模板。3.在创建项目模板对话框中,编辑名称和作者,选择或创建一个组,并添加描述以帮助模板用户。在项目中,当有必要重复使用或与他人共享时,从项目创建模板。

2024-09-03 08:33:20 367

原创 MATLAB 如何分配内存

本主题提供关于 MATLAB® 在处理变量时如何分配内存的信息。这些信息,就像关于 MATLAB 内部如何处理数据的任何信息一样,在以后的版本中可能会变更。当将数值或字符数组分配给变量时,MATLAB 会分配一个连续的内存块,并将数组数据存储在该内存块中。MATLAB 还将有关数组数据的信息(如它的类和维度)存储在一个单独的小内存块标头。对于多数数组,存储标头所需的内存可忽略不计。然而,将大数据集存储在数量较少的大数组中比存储在数量较大的小数组中可能更理想。这是因为较少的数组需要较少的数组标头。

2024-09-02 08:33:26 1001 1

原创 MATLAB中save_system的用法

save_system的功能是保存 Simulink 模型。

2024-09-01 22:16:31 719 1

原创 MATLAB中Simulink.createFromTemplate用法

Simulink.createFromTemplate的功能是从模板创建模型或项目。

2024-08-31 15:43:57 269 1

原创 在仿真数据检查器中查看数据

可以使用仿真数据检查器来可视化您在整个设计过程中生成的数据。您在 Simulink® 模型中记录的仿真数据会记录到仿真数据检查器中。您还可以将测试数据和其他记录的数据导入仿真数据检查器,以便与记录的仿真数据一起进行检查和分析。仿真数据检查器提供几种类型的绘图,以便您轻松创建复杂的数据可视化。

2024-08-30 08:37:36 405

原创 NXP的在线调试工具free master安装与使用

FreeMaster为NXP公司为用户提供的一款运行在PC机上的图形化在线调试工具,不仅支持简单的串口调试,还支持JTAC、USB、CAN、DAP等在线调试。使用FreeMaster不需要添加额外的代码,只需要对软件进行相关配置便可以对工程中变量进行显示与在线调试。

2024-08-29 08:45:48 436

原创 保存和加载工作区变量

在 MATLAB® 会话之间并不保留工作区。退出 MATLAB 时,工作区清除。但是,您可以将当前工作区中的任何或所有变量保存到 MAT 文件 (.mat) 中。之后,只需加载保存的 MAT 文件,即可在当前 MATLAB 会话期间或在另一个会话期间重用工作区变量。

2024-08-28 08:38:46 1041

原创 在 MAT 文件中保存和加载部分变量

可以在 MAT 文件中直接保存和加载部分变量,而无需使用 matfile 函数将其加载到内存中。相对于 load 或 save 函数,使用 matfile 函数的主要优点是对于太大而无法装入内存中的数据集,可以仅处理该数据集的一部分。当处理这些大型变量时,应一次将尽可能多的数据读取和写入到内存中。否则,重复的文件访问会严重降低代码的性能。

2024-08-27 08:39:11 1005

原创 查看和访问信号记录数据

​可以使用仿真数据检查器在仿真期间查看记录的信号数据,也可以使用其他可视化界面查看暂停或停止的仿真的信号记录数据。请参阅决定如何可视化仿真数据。也可以使用 MATLAB® 命令以编程方式访问信号记录数据。如果没有看到在模型中标记要记录的信号的记录数据,请检查记录配置。使用信号记录选择器为其记录设置被覆盖了的信号启用记录功能。

2024-08-26 08:41:09 891

原创 MATLAB中bicgstabl函数用法

bicgstabl函数的功能是求解线性系统 - 稳定双共轭梯度 (l) 法。

2024-08-25 10:49:05 726

原创 MATLAB中matlab.lang.makeValidName用法

在第三个元素中,makeValidName 添加了一个前缀(因为该字符向量不是以字母开头)、删除了空格,并将删除的空格后面的字符更改为大写。在第三个元素中,makeValidName 添加了一个前缀(因为该字符向量不是以字母开头)、删除了空格,并将删除的空格后面的字符更改为大写。在第一个和第二个元素中,makeValidName 将无效字符(# 和 /)替换为下划线。在第三个元素中,makeValidName 添加了一个前缀(因为该字符向量不是以字母开头)、删除了空格,并将删除的空格后面的字符更改为大写。

2024-08-24 14:41:38 488

原创 MATLAB中Simulink.findTemplates用法

Simulink.findTemplates的功能是查找具有指定属性的模型或项目模板。

2024-08-23 08:39:14 338

原创 MATLAB中qr函数用法

qr函数的功能是对矩阵进行QR 分解。

2024-08-22 08:35:55 741

原创 MATLAB中logm函数用法

logm函数的功能是求取矩阵对数。

2024-08-21 08:32:20 235

CRC校验模块代码生成

主要采用Verilog代码实现的CRC校验,有需要的可以进行下载。

2023-12-20

DDS信号发生器代码模块

DDS信号发生器代码模块,能够用于系统的扫频使用。

2023-12-20

Springboot框架项目实战-电商平台《伴我汽车》

项目介绍:“伴我汽车”电商平台系统是全新汽车电商平台,用于整车在网络上的活动推广、信息搜集、资讯、购车推荐等相关业务的开展。涵盖了所有移动端(H5)用户。主要功能有:首页推荐展示、线上活动、内容资讯、选车工具、商品介绍、用户中心等相关的业务。在后台对应一套相关的运营管理系统,主要功能包括:登录、用户管理、资讯管理、线上活动管理、商品管理、选车工具等相关功能。

2023-09-11

60多个经典项目珍藏版

C语言项目,里面含有各种模块可以直接使用,用于基础开发是个很不错的例子。

2023-09-11

Sync-Pulse单bit实现

采用Verilog实现单bit位跨时钟域处理,信号从快慢时钟域中转换。

2023-09-11

PWM波形的simulink仿真文件

使用simulink完成PWM仿真的实现,可以自己动手进行调试。

2023-09-11

MATLAB+估计规划+滤波+卷积

MATLAB的一些小程序,包括滑动平均、轨迹规划、卷积运算、音频分析等。

2023-09-11

PCIE的工程实现项目

PCIE的项目工程文件,有需要的可以下载。

2023-08-09

SystemVerilog验证说明

主要是SystemVerilog验证说明文档,有需要的可以自行下载看看。

2023-03-31

ZYNQ PL SFP 光口通信例

本文主要介绍说明 XQ6657Z35-EVM 高速数据处理评估板 SPF 光口通信例程的功能、使 用步骤以及各个例程的运行效果。

2023-03-21

DE1-SoC-User-manual-ref

学习DE1-SOC FPGA的资料,有需要的可以下载看看。

2023-01-29

XILINX-时序约束使用指南中文

XILINX_时序约束使用指南中文

2023-01-05

伺服电机控制代码,有需要的可以下载看看

伺服电机控制参考代码,可以学习一下。

2022-11-09

Verilog Testbench设计技巧和策略.zip

仿真文档。

2021-08-28

Modelsim仿真技巧文档.zip

FPGA仿真技巧文档。

2021-08-28

电机控制学习笔记.zip

电机控制学习的文档

2021-08-27

zdxhclysjfx.zip

振动信号的处理文档

2021-08-26

自动调节系统解析与PID整定.zip

讲述PID控制的一些方法和自调整技术

2021-08-21

PMSM_System.zip

PMSM_System.zip

2021-06-21

srqcwzfpga.zip

FPGA学习文档资料

2021-02-20

alien_invasion_python.7z

alien_invasion_python.7z

2021-01-14

观测器的运用.pdf

George Ellis大神的另外一本著作Observers in Control System - A Practical Guide-George Ellis,主要是将观测器的,有需要的可以下载看看。

2020-12-17

FPGA学习资料.pdf

FPGA基础学习资料,介绍关于FPGA的组成,FPGA仿真的内容,对入门学习FPGA的有很好的帮助。

2020-06-11

ifft_sim.rar

ifft变换,同时使用了fft变换,使用Verilog语言实现,比较实用的变换。

2019-07-09

BM3D图像去噪算法

BM3D三维块匹配算法,对于学习图像处理有比较号的效果

2016-06-16

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除