自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1292)
  • 资源 (13)
  • 收藏
  • 关注

原创 FIR 滤波器设计

与无限持续时间脉冲响应 (IIR) 滤波器相比,具有有限持续时间脉冲响应的数字滤波器(全零或 FIR 滤波器)既有优点又有缺点。FIR 滤波器具有以下主要优点:它们可以具有精确的线性相位它们始终稳定设计方法通常是线性的它们可以在硬件中高效实现滤波器启动瞬态具有有限持续时间。。相应地,这些滤波器的延迟通常比同等性能的 IIR 滤波器大得多。滤波器设计方法说明滤波器函数加窗对指定的矩形滤波器的截断傅里叶逆变换应用加窗多频带(包含过渡带)对频率范围的子带使用等波纹或最小二乘方法。

2022-07-18 10:00:00 8653 7

转载 xilinx FPGA 固化代码流程

XilinxVIVADO固化流程 纯verilog工程,不涉及SDK代码的固化流程: 综合,实现,生成比特流后,点击Tools—Generate Memory Cinfiguration File… 如图,选择所用FLASH的类型,设置生成mcs文件名,选择生成的比特流文件,文件保存路径自动显示为如图。板子上电,连好JTAG,Open Target后,点击Add Configuration Memory Device如图,...

2022-05-23 09:34:17 2381 2

原创 MATLAB中readmatrix函数用法

readmatrix函数的功能是从文件中读取矩阵。

2020-12-25 14:08:25 27570 4

原创 QuartusII安装器件库及遇到的问题解决

最近由于学习soc FPGA,需要Cyclone V的器件,于是就打开自己安装的QuartusII 18.0版本的软件,在器件选择的时候发现没有安装这个器件,于是到Intel FPGA官网去下载器件库,这里给出下载库的地址:器件库下载地址:https://fpgasoftware.intel.com/?edition=standard打开网址之后可以根据自己的需求下载需要的所需要的器件库文件,下载器件库文件的时候一定清楚自己安装的是什么类型版本以及版本的QuartusII软件,如图示所示: ..

2020-07-03 10:53:13 25400 10

原创 Matlab中lsim函数使用

lsim函数:lsim函数是针对线性时不变模型,给定任意输入,得到任意输出。lsim函数表示任意输入函数的响应,连续系统对任意输入函数的响应可以利用lsim函数求取。语法(常用):1.分子分母形式lsim(num,den,u,t)2.传递函数形式lsim(sys,u,t)3.状态空间形式lsim(A,B,C,D,u,t)其中,u为由给定输入序列构成的矩阵,它的每列对应一个输入,每行对应一个新的时间点,其行数与时间t的长度相等,其它的用法与step函数相同。...

2020-05-22 15:16:32 82689 1

原创 MATLAB中matlab.lang.makeValidName用法

在第三个元素中,makeValidName 添加了一个前缀(因为该字符向量不是以字母开头)、删除了空格,并将删除的空格后面的字符更改为大写。在第三个元素中,makeValidName 添加了一个前缀(因为该字符向量不是以字母开头)、删除了空格,并将删除的空格后面的字符更改为大写。在第一个和第二个元素中,makeValidName 将无效字符(# 和 /)替换为下划线。在第三个元素中,makeValidName 添加了一个前缀(因为该字符向量不是以字母开头)、删除了空格,并将删除的空格后面的字符更改为大写。

2024-08-24 14:41:38 261

原创 MATLAB中Simulink.findTemplates用法

Simulink.findTemplates的功能是查找具有指定属性的模型或项目模板。

2024-08-23 08:39:14 276

原创 MATLAB中qr函数用法

qr函数的功能是对矩阵进行QR 分解。

2024-08-22 08:35:55 647

原创 MATLAB中logm函数用法

logm函数的功能是求取矩阵对数。

2024-08-21 08:32:20 196

原创 MATLAB中bicgstab函数用法

如果 bicgstab 无法在达到最大迭代次数后收敛或出于任何原因暂停,则会显示一条包含相对残差 norm(b-A*x)/norm(b) 以及该方法停止时的迭代次数的诊断消息。双共轭梯度稳定 (BiCGSTAB) 算法是在双共轭梯度算法的基础上开发的,该算法通过使用重新启动的 GMRES 步骤来减轻 BiCG 步骤中的不规则收敛行为,从而在 BiCG 算法基础上进行改善。使用采用默认设置的 bicgstab 求解系数矩阵为方阵的线性系统,然后在求解过程中调整使用的容差和迭代次数。创建一个三对角稀疏矩阵。

2024-08-20 08:35:11 862

原创 MATLAB中bicg函数用法

对于 CG 算法所针对的对称正定方程组,BiCG 算法可提供相同的结果,但每次迭代的成本会增加一倍。如果 bicg 无法在达到最大迭代次数后收敛或出于任何原因暂停,则会显示一条包含相对残差 norm(b-A*x)/norm(b) 以及该方法停止时的迭代次数的诊断消息。绘制每个解的残差历史记录图,并添加一条表示指定容差的线。使用每行的总和作为 Ax=b 右侧的向量,使 x 的预期解是由 1 组成的向量。使用采用默认设置的 bicg 求解系数矩阵为方阵的线性系统,然后在求解过程中调整使用的容差和迭代次数。

2024-08-19 08:32:09 580

原创 MATLAB中cgs函数用法

如果 cgs 无法在达到最大迭代次数后收敛或出于任何原因暂停,则会显示一条包含相对残差 norm(b-A*x)/norm(b) 以及该方法停止时的迭代次数的诊断消息。您也可以使用更大的容差,使算法更容易收敛。在计算成本相当的情况下,CGS 的收敛速度快于 BiCG,但可能具有不规则的收敛行为,尤其是当初始估计值接近解时 [1]。使用每行的总和作为 Ax=b 右侧的向量,使 x 的预期解是由 1 组成的向量。使用采用默认设置的 cgs 求解系数矩阵为方阵的线性系统,然后在求解过程中调整使用的容差和迭代次数。

2024-08-18 10:46:11 530

原创 MATLAB中ichol函数用法

也就是说,对于 e = ones(size(A,2),1),norm(A*e-L*(L'*e)) 将约为零,即使 norm(A-L*L','fro')/norm(A,'fro') 不接近零。与 MIC(0) 一样,修正后的阈值调降不完全 Cholesky 分解也将保留预条件子对常向量的作用,也即 norm(A*e-L*(L'*e)) 将约为零。此处的 pcg 仍然无法在所需的迭代次数内收敛至所需公差,但正如以下绘图所示,与没有预条件子相比,收敛更适用于带有此预条件子的 pcg。此分解的计算非常容易。

2024-08-17 15:20:16 983

原创 MATLAB中rsf2csf函数用法

rsf2csf函数的功能是将实数 Schur 形式转换为复数 Schur 形式。

2024-08-16 08:26:04 303

原创 MATLAB中lsqr函数用法

lsqr函数的功能是求解线性系统 - 最小二乘法。

2024-08-15 08:31:43 577

原创 MATLAB中cdf2rdf函数用法

在变换后,Vnew 的各个列不再是 X 的特征向量,但与 Dnew 中的 2×2 块关联的 Vnew 中的每对向量跨越对应的不变向量。将实矩阵 X 的 [V,D] = eig(X) 或 [V,D] = eigs(X,___) 的输出从复数对角型转换为实数对角型。此操作将变换 X 的特征值在 D 中的表示方式,并变换 V 以使 X*Vnew = Vnew*Dnew。变换 V 和 D,使 Dnew 为实数块对角型,并且 Vnew 满足 X*Vnew = Vnew*Dnew。求实矩阵的特征值和特征向量。

2024-08-13 08:31:57 601

原创 MATLAB中open_system用法

open_system的功能是打开模型、库、子系统或模块对话框。

2024-08-12 08:35:42 944

原创 MATLAB中new_system用法

根据您的默认模型模板创建名为 untitled(随后为 untitled1、untitled2,依此类推)的模型,并返回新模型的数值句柄。要保存模型,请使用 save_system,或使用 open_system 打开模型,然后使用 Simulink 编辑器保存模型。要保存模型,请使用 save_system,或使用 open_system 打开模型,然后使用 Simulink 编辑器保存模型。​如果 name 为空,此函数将创建名为 untitled、untitled1、untitled2 等的模型。

2024-08-11 12:53:18 1049

原创 MATLAB中schur函数用法

schur的功能是完成Schur 分解。

2024-08-10 15:42:00 224

原创 MATLAB中eigs函数用法

矩阵 A = delsq(numgrid('C',30)) 是一个大小为 632 的对称正定矩阵,特征值合理分布在区间 (0 8) 中,但是在 4.0 处有 18 个重复的特征值。此外,由于 B(s,s) = R'*R,因此 R = chol(B(s,s)),所以应使用置换向量 s 作为 'CholeskyPermutation' 的值。相反,'smallestabs' 选项使用 A 的倒数,也就是 A 的特征值的倒数,它们的差距大得多,所以更容易计算。为 sigma 的每个可用选项计算并绘制一些特征值。

2024-08-09 08:36:15 1042

原创 MATLAB中condeig函数用法

condeig函数的功能是与特征值有关的条件数。

2024-08-08 08:35:13 197

原创 MATLAB中sprank函数用法

您可以通过将矩阵转换为 1 和 0 并将与 matchpairs(double(A~=0),0,'max') 匹配的权重最大化,来计算最大匹配。结构秩则等于匹配的数量。结构秩是“最大匹配”,它与 Dulmage-Mendelsohn 分解的关系为 sprank(A) = sum(dmperm(A)>0)。一个矩阵的结构秩是具有相同非零模式的所有矩阵的最大秩。结构秩是一个矩阵的秩的上限,因此它满足 sprank(A) >= rank(full(A))。r = sprank(A) 计算稀疏矩阵 A 的结构秩。

2024-08-07 08:46:14 188

原创 MATLAB中equilibrate函数用法

equilibrate函数的功能是缩放矩阵以改善条件。

2024-08-06 08:33:50 1005

原创 MATLAB中hess函数用法

hess函数的功能是矩阵的 Hessenberg 形式。

2024-08-05 08:30:41 231

原创 MATLAB中dmperm函数用法

dmperm函数的功能是完成Dulmage-Mendelsohn 分解。

2024-08-04 19:43:56 634

原创 MATLAB中namelengthmax的用法

请不要在程序中以硬编码方式定义某一特定的最大名称长度,而应使用 namelengthmax 函数。这样,如果将来的 MATLAB 版本中标识符长度发生变化,不需要在代码中更新此限制。使用 MATLAB® backgroundPool 在后台运行代码或使用 Parallel Computing Toolbox™ ThreadPool 加快代码运行速度。l = namelengthmax 返回 MATLAB® 标识符允许的最大长度。此函数完全支持基于线程的环境。

2024-08-03 17:52:24 304

原创 MATLAB中null函数用法

null函数的功能是计算矩阵的零空间(null space)或核(kernel)。

2024-08-02 08:29:48 836 1

原创 MATLAB中inmem函数用法

内存中 MEX 文件的名称,以字符向量元胞数组形式返回。如果带 "-completenames" 调用 inmem,则返回值是包括文件路径和扩展名在内的全名。如果带 "-completenames" 调用 inmem,则返回值是包括文件路径和扩展名在内的全名。现在,返回 MEX 文件的完整名称,包括文件路径和扩展名。如果在调用 inmem 时带 "-completenames" 之外的任何文本输入,其行为都如同未带任何输入进行调用一样。返回内存中函数和 MEX 文件的完整名称,包括文件路径和扩展名。

2024-08-01 08:49:35 392 1

原创 Simulink仿真中出现“Output argument ‘y‘ is not assigned on some execution paths.”

【代码】Simulink仿真中出现“Output argument 'y' is not assigned on some execution paths.”

2024-07-31 14:24:43 452 1

原创 MATLAB中rank函数用法

rank函数的功能是求取矩阵的秩。

2024-07-31 08:35:31 436 1

原创 使用 matfile 函数增大数组

将一个初始值赋给数组的最后一个元素不会创建一个大文件。但它却可以让系统为 matFileOfDoubles.mat 可能较大幅度的大小增加做好准备。当将大量较大的值写入 MAT 文件时,文件大小以非递增方式增加。为了尽可能减少文件必须增长的次数并确保最佳性能,在为数组填充数据之前将初始值赋给数组。定义要写入的值的参数。在此例中,将写入一百万个值,一次写入五万个。在使用数据填充数组之前,将初始值零赋给数组中的最后一个元素。例如,假设有一个可写入的 MatFile 对象。将数据写入数组,一次写入一个分块。

2024-07-30 08:32:37 179 1

原创 MATLAB中matchpairs函数用法

matchpairs函数的功能是求解线性分配问题。

2024-07-29 08:41:23 820 1

原创 MATLAB中condest函数用法

condest函数的功能是计算1-范数条件数估计。

2024-07-28 22:00:39 303 1

原创 使用 From File 模块加载数据

此示例说明如何使用 From File 模块从 MAT 文件加载仿真输入数据,包括如何创建和格式化输入数据。可以通过编程方式创建您加载的数据,加载从另一个仿真中记录的数据,或加载从传感器或实验室采集的真实数据。可以将每个格式部分的代码用作模板,以了解如何格式化自己的仿真输入数据。

2024-07-27 20:29:57 986 1

原创 Simulink之如何辨别可生成代码模块说明

在我们搭建完仿真之后,可能需要将搭建的模型生成代码进行实际的测试,但是有时候模型中的模块可能在无法生成代码,这个时候我们需要将无法生成的代码的模块找出来,然后将其用可能生成模块进行代替或者去掉。

2024-07-26 08:35:37 71 1

原创 MATLAB中memory用法

memory的功能是显示内存信息。

2024-07-25 08:28:44 881 1

原创 Simulink代码生成之模型配置及操作流程

Simulink自带了种类繁多、功能强大的模块库,在基于模型设计的开发流程下,Simulink不仅通过仿真可以进行早期设计的验证,还可以生成C/C++、PLC等代码直接应用于PC、MCU、DSP等平台。在嵌入式软件开发中发挥着重要的作用,本文以Simulink模型生成嵌入式C代码为例分析代码生成的原理及应用。

2024-07-24 09:09:06 1296 1

原创 MATLAB中reset用法

reset函数的功能是重置图形对象属性。

2024-07-24 09:05:42 416 2

原创 MATLAB中matfile用法

matfile的功能是访问和更改 MAT 文件中的变量,而不必将文件加载到内存中。

2024-07-23 08:30:33 1115 1

原创 MATLAB中polyeig函数用法

polyeig函数的功能是多项式特征值问题。

2024-07-22 09:13:01 1008 1

CRC校验模块代码生成

主要采用Verilog代码实现的CRC校验,有需要的可以进行下载。

2023-12-20

DDS信号发生器代码模块

DDS信号发生器代码模块,能够用于系统的扫频使用。

2023-12-20

Springboot框架项目实战-电商平台《伴我汽车》

项目介绍:“伴我汽车”电商平台系统是全新汽车电商平台,用于整车在网络上的活动推广、信息搜集、资讯、购车推荐等相关业务的开展。涵盖了所有移动端(H5)用户。主要功能有:首页推荐展示、线上活动、内容资讯、选车工具、商品介绍、用户中心等相关的业务。在后台对应一套相关的运营管理系统,主要功能包括:登录、用户管理、资讯管理、线上活动管理、商品管理、选车工具等相关功能。

2023-09-11

60多个经典项目珍藏版

C语言项目,里面含有各种模块可以直接使用,用于基础开发是个很不错的例子。

2023-09-11

Sync-Pulse单bit实现

采用Verilog实现单bit位跨时钟域处理,信号从快慢时钟域中转换。

2023-09-11

PWM波形的simulink仿真文件

使用simulink完成PWM仿真的实现,可以自己动手进行调试。

2023-09-11

MATLAB+估计规划+滤波+卷积

MATLAB的一些小程序,包括滑动平均、轨迹规划、卷积运算、音频分析等。

2023-09-11

PCIE的工程实现项目

PCIE的项目工程文件,有需要的可以下载。

2023-08-09

SystemVerilog验证说明

主要是SystemVerilog验证说明文档,有需要的可以自行下载看看。

2023-03-31

ZYNQ PL SFP 光口通信例

本文主要介绍说明 XQ6657Z35-EVM 高速数据处理评估板 SPF 光口通信例程的功能、使 用步骤以及各个例程的运行效果。

2023-03-21

DE1-SoC-User-manual-ref

学习DE1-SOC FPGA的资料,有需要的可以下载看看。

2023-01-29

XILINX-时序约束使用指南中文

XILINX_时序约束使用指南中文

2023-01-05

伺服电机控制代码,有需要的可以下载看看

伺服电机控制参考代码,可以学习一下。

2022-11-09

Verilog Testbench设计技巧和策略.zip

仿真文档。

2021-08-28

Modelsim仿真技巧文档.zip

FPGA仿真技巧文档。

2021-08-28

电机控制学习笔记.zip

电机控制学习的文档

2021-08-27

zdxhclysjfx.zip

振动信号的处理文档

2021-08-26

自动调节系统解析与PID整定.zip

讲述PID控制的一些方法和自调整技术

2021-08-21

PMSM_System.zip

PMSM_System.zip

2021-06-21

srqcwzfpga.zip

FPGA学习文档资料

2021-02-20

alien_invasion_python.7z

alien_invasion_python.7z

2021-01-14

观测器的运用.pdf

George Ellis大神的另外一本著作Observers in Control System - A Practical Guide-George Ellis,主要是将观测器的,有需要的可以下载看看。

2020-12-17

FPGA学习资料.pdf

FPGA基础学习资料,介绍关于FPGA的组成,FPGA仿真的内容,对入门学习FPGA的有很好的帮助。

2020-06-11

ifft_sim.rar

ifft变换,同时使用了fft变换,使用Verilog语言实现,比较实用的变换。

2019-07-09

BM3D图像去噪算法

BM3D三维块匹配算法,对于学习图像处理有比较号的效果

2016-06-16

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除