那些年在FPGA踩过的坑(一)

1、Error(10028):Can’t resolve multiple constant drivers for net “ ” at **.v

两个进程里都有同一个条件判断的话,会产生并行信号冲突的问题。

同一个信号不允许在多个进程中赋值,否则则为多驱动。

进程的并行性决定了多进程不同能对同一个对象进行赋值。

时序逻辑和组合逻辑的计算

2、modesim找不到某某文件

1、例如lpm相关
解决方法:right click on the libraries window
goto new -> library
select map to existing library
name : LPM
find the 220model folder

3、网上FFT实例使用方法

1、解压到相应文件夹

2、cd {D:/cv_fft_iff_natural_cosine_170/fft0/simulation/mentor}

3、运行tcl脚本:source msim_setup.tcl

局部修改内容的含义
注意
1、修改topname
2、添加自己的文件.v
3、添加需要的IP核相关文件

4、LD

重新加载文件设置

5、do wave.do

在波形窗口添加监视及

6、run -all

运行程序

  • 2
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值