Verilog 多路选择器(MUX)

Verilog 多路选择器(MUX)

一般的遇到的情况都是单独的output 信号,对于inout信号,我们怎么做多路选择器呢?

1、 inout、input、output的不同

2、inout电路

inout电路

3、两路选择器

3.1 inout module

这是一个简单的inout使用模块

`timescale 1ns / 1ps
// Create Date: 2020/03/10 11:39:00
// Design Name: 
// Module Name: mux_sub_function
//


module mux_sub_function  ( 
    input clk,
    input reset_n,
    
    input data_en_i,
    output reg data_en_o,
    inout [7:0] data_bus,
    
    output[7:0] data_bus_in_o
    );
   
    parameter add1 = 1; 
    reg [7:0] data;
    reg  rev_r; 
    reg  
  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值