Quartus||在Ubuntu内安装Quartus Prime Lite版20.1

目录

一、软件简介

二、下载安装包

三、开始安装         

四、小补充:获取root权限

五、赋予软件运行权限

六、安装依赖环境

6.1. 安装lib32ncurses5和libbz2-1.0:i386

6.2 安装libpng12 

七、软件成功运行


一、软件简介

        Quartus II design 是最高级和复杂的,用于system-on-a-programmable-chip (SOPC)的设计环境。 Quartus II design 提供完善的 timing closure 和 LogicLock™ 基于块的设计流程。Quartus II design是唯一一个包括以timing closure 和 基于块的设计流为基本特征的programmable logic device (PLD)的软件。 Quartus II 设计软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提供FPGA与mask-programmed devices开发的统一工作流程。

                                                                                                —— ——摘自百度百科


二、下载安装包

        打开浏览器搜索Intel FPGAs下载中心https://fpgasoftware.intel.com/,选择需要的软件版本和系统,此篇教程的操作系统为Ubuntu,因此选择Quartus Prime Lite 20.1 Linux系统版

        接着选择独立文件安装,选择全部安装的话占取的空间十分的大(不是一般的大!!!)

        下载Quartus Prime和ModelSim。其中,Quartus Prime负责EDA,而ModelSim负责仿真;Device选择的是Cyclone IV和Cyclone V,自己需要啥选啥就行,至少一个

下载文件大小共5.05G左右,大家下载时自己掂量一下安装空间的容量哈~~


三、开始安装         

        点开用户文件夹里的下载,里面有4个文件,两个.run文件是安装程序,两个.qdz则是设备支持包

         双击QuartusLiteSetup-20.1.0.711-linux.run报错(因为是全新的Ubuntu系统,没有相应的程序可以打开,但问题不大~_~)      

         在所在文件夹空白处点击右键,选择在终端打开,输入以下命令(文件名一定要写对)

chmod +x ./QuartusLiteSetup-20.1.0.711-linux.run
sudo ./QuartusLiteSetup-20.1.0.711-linux.run


四、小补充:获取root权限

         由于博主之前作死将软件安装在root目录下,因此想要进入root文件夹会被权限限制,这时该怎么办呢~初始化权限就好啦~

sudo passwd root
su


 五、赋予软件运行权限

        由于Linux系统并不像Windows系统一样,安装完成后会有快捷方式,因此需要找到Quartus Prime和ModelSim的打开路径,并赋予相关运行权限

        图中Quartus安装路径为(建议安装在用户文件夹下,图中安装在root文件夹的行为请勿模仿~~): root/intelFPGA_Lite/20.1/quartus/bin/quartus

        为了支持所有的功能正常使用,在安装路径root/intelFPGA_Lite/20.1/quartus/bin目录下打开终端,输入 chmod 744 * 授予所有运行权限。且在当前目录下,终端里输入./quartus或者bash quartus即可运行软件Quartus

chmod 744 *
./quartus

        图中ModelSim安装路径为(建议安装在用户文件夹下,图中安装在root文件夹的行为请勿模仿~~): root/intelFPGA_Lite/20.1/quartus/bin/vsim

        同样,在当前bin文件夹给所有launcher赋予权限:chmod 744 *,但由于支持的库不完全,ModelSim无法使用


六、安装依赖环境

         由于ModelSim依赖一些远古老库和32位开发环境,此时安装的ModelSim无法直接使用。因此需要根据Intel官方指南和Ubuntu包管理器的帮助逐渐完善ModelSim的运行环境(此步需谨慎,前方麻烦警告~_~||

        根据Intel官方资料,需要安装的包有:

Install libc6:i386, libncurses5:i386, libxtst6:i386, libxft2:i386, libc6:i386, libncurses5:i386, libstdc++6:i386, libc6-dev-i386 libxft2, lib32z1, lib32ncurses5, lib32bz2-1.0, and libpng12 libraries.

Note:

For Ubuntu 18.04, additionally install libqt5xml5 and liblzma-dev libraries.

For Ubuntu 18, libpng12 library is no longer available via the apt-get method. Download and install the libpng12 library from the following location:

https://packages.ubuntu.com/xenial/amd64/lib

        为了避免不必要的麻烦,先更新一下安装源 sudo apt update

         首先安装大部分软件镜像可以支持的库。从apt源安装支持库:sudo apt install libc6:i386 libncurses5:i386 libxtst6:i386 libxft2:i386 libc6:i386 libncurses5:i386 libstdc++6:i386 libc6-dev-i386 libxft2 lib32z1

sudo apt install libc6:i386 libncurses5:i386 libxtst6:i386 libxft2:i386 libc6:i386 libncurses5:i386 libstdc++6:i386 libc6-dev-i386 libxft2 lib32z1

        而lib32bz2-1.0,libpng12 和lib32ncurses5是没有提供在部分apt软件镜像库里的,如果直接下载,会报错,那就逐个从其他镜像源安装包呗~

6.1. 安装lib32ncurses5和libbz2-1.0:i386

       在package.ubuntu.com中有lib32ncurses5安装包,由于lib32ncurses5依赖于更多其他的库,我们采用添加源的方式在sources.list里添加官方源,输入以下命令(系统没有vim需要自行安装哦~图中就是没有,所以用命令sudo apt-get install vim-gtk安装

sudo vim /etc/apt/sources.list

deb http://cn.archive.ubuntu.com/ubuntu bionic main #添加源

         vim文本编辑器参考这篇博客哦~:

Linux 下 vi/vim 文本编辑器超详细使用指南_Jaylon Wang的专栏-CSDN博客_linux文本编辑器vim

         保存文档后退出,在输入sudo apt update,此时搜索lib32ncurses5,可以搜索到该支持库软件包;接着进行安装相应的包(无需更改软件源)

sudo apt install lib32ncurses5

sudo apt install libbz2-1.0:i386

6.2 安装libpng12 

        重复前面的步骤,使用命令 sudo vim /etc/apt/sources.list打开sources.list;添加xenial软件源:deb http://security.ubuntu.com/ubuntu xenial-security main;然后更新软件源:sudo apt update,开始安装libpng12:sudo apt install libpng12-0

        报错了T_T,先尝试运行sudo apt install -f修复依赖后重新安装,还是不行

        原因是:软件包系统已损坏,可能是使用了第三方源导致的问题

        解决方法一:(没有解决)

sudo apt-get clean
sudo apt-get -f install

        解决方法二:(成功解决)

sudo add-get-repository ppa:linuxuprising/libpng12
sudo apt update
sudo apt install libpng12-0


七、软件成功运行

  • 3
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
### 回答1: Quartus Prime 20.1是一款FPGA设计软件,主要用于数字电路设计和仿真。以下是使用教程: 1. 下载安装Quartus Prime 20.1软件。 2. 打开Quartus Prime 20.1软件,创建一个新的工程。 3. 在工程中添加需要设计的模块和文件。 4. 进行电路设计和仿真,可以使用Quartus Prime 20.1提供的工具和功能。 5. 在设计完成后,进行编译和生成比特流文件。 6. 将比特流文件下载到FPGA芯片中,进行硬件验证和测试。 7. 如果需要修改设计,可以重新打开工程并进行修改和编译。 8. 最后,进行设计文档的生成和保存。 以上是Quartus Prime 20.1的使用教程,希望对您有所帮助。 ### 回答2: Quartus Prime 20.1是Altera公司最新推出的本,它可以用来设计和编译FPGA和CPLD芯片。这里是一些关于Quartus Prime 20.1的使用教程: 1. 安装Quartus Prime 20.1 首先,下载安装Quartus Prime 20.1安装过程中需要输入许可证文件。如果您没有许可证文件,请先注册Quartus Prime。 2. 新建工程 打开Quartus Prime 20.1,选择“创建新项目”,并填写相应的信息,例如项目名称、保存位置等。在这里,您可以选择使用哪个FPGA芯片。 3. 添加源文件 在新建项目后,为项目添加源文件。在工具栏中选择“新建文件”,然后选择您的源文件类型。接下来,将您的源文件添加到项目中。 4. 编辑代码 在您的源文件中,您可以使用编程语言(例如Verilog或VHDL)来描述您的电路。编辑代码时,请注意使用适当的语法,因为Quartus Prime可以检查代码并报告错误。 5. 编译 完成代码编辑后,编译代码以生成位流文件。在工具栏中选择“编译”,编译过程可能需要一些时间。如果没有错误,Bitstream将生成并可以下载到FPGA中。 6. 下载代码 在编译完成后,将Bitstream下载到FPGA芯片进行测试。在工具栏中选择“下载到设备”,并选择“下载到硬件”。然后,选择正确的设备,连接您的设备,然后单击“开始下载”。 总之,Quartus Prime 20.1是一款全功能的FPGA开发软件,可用于设计和编译电路。通过按照上述步骤,您可以轻松地开始使用Quartus Prime。 ### 回答3: Quartus Prime 20.1是一款FPGA设计软件,广泛应用于电子和计算机工程学中。Quartus Prime 20.1的主要功能是将硬件描述语言(HDL)转换为数字逻辑电路。本文将详细讲解Quartus Prime 20.1使用教程,并给出一些便捷的技巧。 一、创建新的Quartus Prime 20.1项目 在打开Quartus Prime 20.1时,用户需要创建一个新的项目,具体操作步骤如下: 1. 选择文件(File)菜单,单击新建项目(New Project)。 2. 在新建项目向导中,输入项目名称和项目路径。 3. 选择适当的FPGA芯片以及对应的配置文件(.qsf文件)。 4. 单击完成(Finish)按钮,Quartus Prime 20.1将会自动创建一个新项目。 二、添加输入/输出 在Quartus Prime 20.1中添加输入/输出可以通过以下四步简单完成: 1. 单击编辑(Edit)菜单中的引脚(Pin)管理器(Assignment Editor)。 2. 在引脚管理器中,选择所需的输入/输出端口。 3. 单击所选端口的“+”符号,即可添加输入和输出端口。 4. 输入或选择端口的名称、方向和电气特性。 三、设计电路 用户可以在Quartus Prime 20.1的设计视图中设计他们的电路。具体方法如下: 1. 选择设计(Design)菜单中的打开设计视图(Open Design View)。 2. 选择所需的元件,包括输入和输出端口、逻辑元件等。 3. 拖动元件到设计视图中,并完成它们之间的连线。 四、编译 编译操作可以将用户的设计转换为可编程逻辑设备(FPGA)的代码。编译方法如下: 1. 选择流程(Processing)菜单中的编译(Start Compilation)。 2. Quartus Prime 20.1将编译用户的设计,生成目标文件。 3. 如果编译过程中出现了错误,用户可以在编译报告中查看详细信息。 五、仿真 仿真操作可以验证用户的设计在不同情况下的行为。其步骤如下: 1. 选择流程(Processing)菜单中的仿真(Start Simulation)。 2. Quartus Prime 20.1将打开ModelSim仿真工具,并将用户的设计文件加载到它中。 3. 用户可以在ModelSim仿真工具上创建测试向量,以验证他们的设计。 六、实现 实现将可编程逻辑设备(FPGA)目标文件发送到目标设备上。实现的具体步骤如下: 1. 选择流程(Processing)菜单中的实现(Start Assemble)。 2. Quartus Prime 20.1将生成目标文件,并将其写入目标设备。 3. 用户可以在FPGA上运行他们的设计。 七、结论 Quartus Prime 20.1是一款强大的FPGA设计软件,适用于各种电子和计算机工程应用。本文介绍了创建新项目、添加输入/输出、设计电路、编译、仿真和实现操作具体步骤。希望这篇教程对大家有所帮助。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值