VHDL||数字系统设计实验--基于VHDL的流水灯电路设计

该博客介绍了如何使用VHDL设计一个流水灯电路,旨在提升数字系统设计技能和理解简单的时序电路。内容包括实验目标、VHDL程序及连线图,为读者提供了一个实际操作的教程。
摘要由CSDN通过智能技术生成

实验目的:

  1. 学习设计一个流水灯电路,并在实验板验证。
  2. 学习简单时序电路的设计和硬件测试。
  3. 学习使用VHDL语言方法进行逻辑设计输入。

实验内容:

实验VHDL程序:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY H8 IS
PORT(clk:IN STD_LOGIC;
	  ena:IN STD_LOGIC;
	  clr:IN STD_LOGIC;
     led:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END;
ARCHITECTURE CNT OF H8 IS
signal A : integer range 0 to 7;
	signal B : std_logic_vector(7 downto 0);
	signal C : std_logic_vector(7 downto 0);
begin
P1:process(clk,clr,ena)
	begin
	if clr = '0' then C <= "00000000";
	elsif ena = '1' 
	then if clk'event and clk = '1' then A <= A + 1;
	end if;
	end if;
end process P1;
P2:process(A)
	begin
	case A is
	when 0 => B <="00000001";
	when 1 => B <&
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值