VHDL实验二:流水灯

一、实验目的

1.掌握 Quartus II 进行 FPGA 开发的流程,熟练利用 verilog 进行逻辑电路设计,并熟悉 modelsim 仿真方法。

2.熟悉触发器、计数器和分频器在时序逻辑电路设计中的应用。

3.学会流水显示设计方法

二、实验步骤

 在老师的指导下,打开 Quartus II,利用 verilog 文本输入方法,完成上述描述的逻辑电路的输入、 编译、仿真和下载。并观察其在 DE2 平台上的实现情况。步骤如下:

(1).在文本文档中写出 verilog 代码,在另外一个文本文档中写出 testbench 测试代码。

(2).建立工程,选择硬件,选择仿真工具为 modelsim-altera,创建 Verilog 文件,进行文本输入。

(3).编译和分配引脚。(从 DE2_pin_assignments 文件中正确选择要使用的引脚,并输入)

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值