ZedBoard流水灯

使用的工具Vivado2015.2  
代码如下:
module light(
   input clk,
    input reset,
    output [7:0]led
    );
    reg[31:0]count;
    reg [7:0]led;
    reg [2:0]num;
    parameter [31:0]delay=32'd100000000;
   
    always@(posedge clk or posedge reset)
    begin
    if(reset)begin
    led<=8'b00000000;
    count<=0;
    num<=0;
    end
    else if(count==delay && num==0)begin
    led<=8'b00000001;
    count<=0;
    num<=1;
    end
    else if(count==delay && num==1)begin
       led<=8'b00000010;
       count<=0;
       num<=2;
    end
      
    else if(count==delay && num==2)begin
          led<=8'b00000100;
          count<=0;
          num<=3;
     end
     else if(count==delay && num==3)begin
        led<=8'b00001000;
        count<=0;
        num<=4;
        end
         else if(count==delay && num==4)begin
           led<=8'b00010000;
           count<=0;
           num<=5;
           end
            else if(count==delay && num==5)begin
              led<=8'b00100000;
              count<=0;
              num<=6;
              end
               else if(count==delay && num==6)begin
                 led<=8'b01000000;
                 count<=0;
                 num<=7;
                 end
                  else if(count==delay && num==7)begin
                    led<=8'b10000000;
                    count<=0;
                    num<=0;
                    end
    else
    count<=count+1'b1;

    end
   
endmodule

管脚约束文件:
set_property  -dict {PACKAGE_PIN  T22  IOSTANDARD LVCMOS25} [get_ports led[0]]  ;
set_property  -dict {PACKAGE_PIN  T21  IOSTANDARD LVCMOS25} [get_ports led[1]]  ;
set_property  -dict {PACKAGE_PIN  U22  IOSTANDARD LVCMOS25} [get_ports led[2]]  ;
set_property  -dict {PACKAGE_PIN  U21  IOSTANDARD LVCMOS25} [get_ports led[3]]  ;
set_property  -dict {PACKAGE_PIN  V22  IOSTANDARD LVCMOS25} [get_ports led[4]]  ;
set_property  -dict {PACKAGE_PIN  W22  IOSTANDARD LVCMOS25} [get_ports led[5]]  ;
set_property  -dict {PACKAGE_PIN  U19  IOSTANDARD LVCMOS25} [get_ports led[6]]  ;
set_property  -dict {PACKAGE_PIN  U14  IOSTANDARD LVCMOS25} [get_ports led[7]]  ;
set_property  -dict {PACKAGE_PIN  P16  IOSTANDARD LVCMOS25} [get_ports reset]  ;
set_property  -dict {PACKAGE_PIN  Y9  IOSTANDARD LVCMOS25} [get_ports clk]  ;
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值