FPGA(1) # GVIM的使用(1)

目录

三种工作模式

视图模式的使用

搜索命令(*,/,/\/,n,N)

文件内跳转(gg,G,:100)

替换命令(:%s/xx/yy/gc,:10:100s/xx/yy/gc)

删除复制命令(ctrl+c,ctrl+v)

分窗口(:vs,:sp,:q)

编辑模式的使用

列操作模式的使用

其他常用命令

Diff功能(比较功能)

录制命令(qa,q,100@a)

明德扬模板


三种工作模式

视图模式的使用

搜索命令(*,/,/\/,n,N)

*:搜索

n:跳转

/:搜索

/\/:\后面为内容

文件内跳转(gg,G,:100)

gg:跳至文件头

G:跳至文件尾

:100:跳至100行

替换命令(:%s/xx/yy/gc,:10:100s/xx/yy/gc)

:%s/xx/yy/gc:%为位置范围(例如:222,,238/直接用%代表全文),xx为被替换名称,yy为替换名称

删除复制命令(ctrl+c,ctrl+v)

分窗口(:vs,:sp,:q)

:vs:分成左右窗口

:sp:分成上下窗口

:q:退出一个

编辑模式的使用

补全命令(ctrl+p)

列操作模式的使用

用hjkl来上下左右,选择范围

用“I”来插入数据,然后用esc退出,使每一列都加上同样内容

可以先用鼠标选择范围,再ctrl+q来选定列

其他常用命令

Diff功能(比较功能)

在做上角文件上选择

录制命令(qa,q,100@a)

qa:q为录制,a为录制操作代号

q:结束录制

100@a:操作a一百次

明德扬模板

命令

作用

Module

可以调出verilog模板的模板。该模板已经包括模板的常用部分,在相应位置补充就非常方便地完成设计

Shixu

“时序”的拼音,可以调出时序逻辑的模板。时钟和复位信号绝大部分情况下都无须修改。

always @(posedge clk or negedge rst_n)begin

    if(rst_n==1'b0)begin

    end

    else begin

    end

end

Zuhe

“组合”的拼音。可以调出组合逻辑的模板。

Jsq

“计数器”的拼音缩写。可以调出明德扬四步法计数器的模板。

Ztj“状态机”的拼音缩写。可以调出明德扬四步法状态机的模板。
Test可以调出测试文件的模板
RegX

定义reg信号时使用。其中X是指位宽数字,目前支持1,2,3,4,8,16,32。

WireX

定义wire信号时使用。其中X是指位宽数字,目前支持1,2,3,4,8,16,32。
InputX定义input信号时使用。其中X是指位宽数字,目前支持1,2,3,4,8,16,32。
OutputX定义Output信号时使用。其中X是指位宽数字,目前支持1,2,3,4,8,16,32。
Initial用于调用测试文件中initial段的语句。语句中补充了#1延时,请注意不要删除。

 

 

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
作为自己极为方便和顺手的编译器,在最近两年的使用中,我不断的更新和加强vim的功能,特此备份。。。 ============================== 开启最大化 (win32用户直接就可以最大化,但是linux用户则需要安装wmctrl,详情参见:http://download.csdn.net/detail/jiaoxiaogu/4317843) --------------------------------------------------------------- F5一键运行Python,Ruby,Perl脚本 结果直接显示在gvim的Quickfix窗口中,不会单独弹出窗口。 F3: 打开tagbar F4: 添加个人信息 -------------------------------------------------------------- 炫酷配色方案,详情参看(http://www.weibo.com/1132779494/z6lIzbmBg) 自动匹配括号,自动补全一些代码(\fn: 当前文件名; \fe:当前文件名+后缀;tt当前时间) 自动载入保存fold(折叠)信息 --------------------------------------------------------------- tl: 显示taglist wm:显示winmanager ,v: 打开配置文件 ,te: 打开俄罗斯方块(熟悉HJKL键) tv: 在gvim中打开bash命令行(是的,你没看错!!) pyli: python静态代码测试 (保持代码规范,远离砍手砍脚) --------------------------------------------------------------- ctrl+g:打开最近打开的10个文件列表(这个非常方便,不用:e+路径了!) ctrl+q(,v):注释/反注释(可以区域注释,也可以单行注释) --------------------------------------------------------------- 所安装的插件: authorinfo.vim conque_term.vim EnhancedCommentify.vim minibufexpl.vim mru.vim per-support.vim python_fold.vim snipMate.vi tagbar.vim taglist.vim TeTrIs.vim winfileexplorer.vim winmanager.vim wintagexplorer.vim ------------------------------------------ 此配置的默认字体为Monaco,下载地址:http://download.csdn.net/detail/jiaoxiaogu/4317959
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

史莱姆jk

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值