【紫光同创盘古PGX-Lite 7K教程】——(盘古PGX-Lite 7K开发板/PGC7KD-6IMBG256第二章)​LED 流水灯实验例程

本原创教程由深圳市小眼睛科技有限公司创作,版权归本公司所有,如需转载,需授权并注明出处(www.meyesemi.com)


适用于板卡型号:

紫光同创PGC7KD-6IMBG256开发平台(盘古PGX-Lite 7K)

仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA!

一:盘古PGX-Lite 7K开发板简介

PGX-Lite 7K 开发板是一套基于紫光同创 compa 系列 PGC7KD-6IMBG256 芯片为核心的开 发套件,支持主自加载双启动功能,集成板载 jtag 调试接口,支持 Type-C 转串口通信,同 时预留非常丰富的扩展 IO、数码管、按键、led 灯等硬件资源,在提供用户基础的硬件环境 基础上,满足用户更加灵活的需求。

二:实验目的

掌握流水灯原理并实现流水灯

三:实验要求

流水灯:8 个 LED 以 0.5s 间隔接替闪烁           

四:实验原理

相比上一个 LED 闪烁的实现,只需要改变 LED 的状态。将 8 个 LED 灯流 水式的点亮;

在 C 语言中做流水灯的实验需要用到一个中间变量(代码如下左侧,数据位 的搬移如下右图):

在 FPGA 的开发中是基于硬件,语言也是硬件描述语言,verilog 的处理单 位就是 1bit;8bit 的位宽数据可看作 8 个独立的信号线,这 8 个信号线之间的排 序及相互之间的赋值可以随意组合;代码如下:

 

五:实验源码设计(完整源码查看 demo 源文件) 

Module 的具体内容如下:

 

六:实验步骤

工程创建及编译流程与前面 Led 闪烁实验一致,在添加文件的步骤,添加本 实验的 water_led 的 verilog 文件即可,管脚分配如下:

 

七:实验现象

8 个 led 依次被点亮,后一个灯被点亮时前一个灯熄灭,依次往返,让亮起 来的 led 灯像是在 8 个 led 灯上流动起来一样,故而此实验称之为流水灯。 

  • 8
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值