【紫光同创盘古PGX-Lite 7K教程】——(盘古PGX-Lite 7K开发板/PGC7KD-6IMBG256第三章)键控彩灯实验例程

本原创教程由深圳市小眼睛科技有限公司创作,版权归本公司所有,如需转载,需授权并注明出处(www.meyesemi.com)


适用于板卡型号:

紫光同创PGC7KD-6IMBG256开发平台(盘古PGX-Lite 7K)

仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA!

一:盘古PGX-Lite 7K开发板简介

PGX-Lite 7K 开发板是一套基于紫光同创 compa 系列 PGC7KD-6IMBG256 芯片为核心的开 发套件,支持主自加载双启动功能,集成板载 jtag 调试接口,支持 Type-C 转串口通信,同 时预留非常丰富的扩展 IO、数码管、按键、led 灯等硬件资源,在提供用户基础的硬件环境 基础上,满足用户更加灵活的需求。

二:实验目的

1、设计 8 种彩灯效果,可通过按键切换。

2、设置 1 个普通按键都作为控制输入,按下一次换一种显示效果,在 8 种效 果中循环。

三:实验要求

1、实验平台:PGX-Lite 7K 开发板;

2、按键输入由 KEY0 输入,LED 输出为 LED1~LED4。

四:实验原理

实现框架如下:

1、顶层实现按键切换 LED 的彩灯状态;

2、需要设计一个输入控制模块及一个输出控制模块; 这个实验带大家将多个模块整合成为一个工程,涉及到的知识点有子模块设 计、模块例化;子模块的设计主要是依据功能定位,确定输入输出,再做具体的 设计;

模块例化方式如下: 

按键控制模块功能

接收按键输入信号。统计按键按下次数,由于彩灯模式是 8 种,计数统计范 围是 0~7 循环,将计数结果传递给 LD 控制模块;

根据需求输入信号有:时钟,按键;输出信号有:彩灯控制信号;

内部功能处理:

内部需要对按键信号做消抖处理;

按键触发计数器(计数值输出)改变继而调整彩灯的状态; 

按键消抖 

消抖目的

机械式弹片按键,在按下或松开时会有机械抖动,导致在按下或松开时按键 的状态不稳定,在快速的变化,在使用按键输入信号时如果采集了抖动时的状态, 会导致工程运行出现不可控的变化,故而我们需要将这段时间的抖动信号给滤除 掉,故此实验称之为按键消抖;

实验原理

 前后抖动时间约为 5~10ms,前后抖动共在 20ms,以最大 20ms 做设计,使 用计数到 N 归零的计数器来做时间刻度计时;以 20ms 的间歇对按键输入信号进 行采集,从而避开按键的抖动引起的信号快速变化;

设计 1 个 20bit 的计数器,其计数最大值为:N = 20’hF4240 = 20’d1000000

最大计数值时,计时为:t= N*T = N/f = 1000000/50M = 20ms;

注:对于计数器完成计时功能在 LED 灯控制中已有详细讲解,需要关注 输入时钟频率以及目标计时时长,从而得到计数器的计数范围; 

实验源码设计

 

此种方法有一定误触发概率出现,大家可在此基础上做补充完善;思路如下 (扩展实现):

 

这个 module 的设计中新增加一种语法:parameter ;在 verilog 中 parameter 是对常量进行定义,将 parameter 定义放在 module 的接口中是可进行模块传递, 传递方式请看后面模块例化;

LED 控制模块功能 

8 种流水灯模式有按键传递过来的计数控制切换,每一个 LED 的显示状态完整后进入下一模式初始化。根据需求可得到如下信息:

输入信号:时钟,彩灯模式控制信号;

出信号:12bit 位宽的 LED 控制信号;

功能处理注意事项:彩灯状态切换点,不同状态的切换时如何初始化;

四:实验源码设计(完整源码查看 demo 源文件)

顶层文件源码

按键控制模块 

 

按键消抖 

 

LED 控制模块

六:实验现象

上电后下载完固件,默认 LD1~LD4 流水,每按下一次 KEY0,彩灯状态切 换一次,总共 8 种状态可供循环切换;

  • 25
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值