2021-10-26

修改日志

2021年10月26日

  1. 添加异步复位有关概念
  2. 电路图的01转换推导

1 异步复位同步释放

  • 复位就是高电平变成低电平。释放就是低电平变成高电平;
  • 这里假设低电平复位有效。
  • 异步复位同步释放的意思就是,在复位信号到来的时候不受时钟信号的同步;在复位信号释放的时候受到时钟信号的同步。
    异步复位同步释放电路图
    异步复位同步释放代码
//--------------异步复位 同步释放电路---------------------
/*
异步复位 同步释放
复位就是高电平变成低电平。释放就是低电平变成高电平,
这里假设低电平复位有效。
在复位信号到来的时候不受时钟信号的同步
在复位信号释放的时候受到时钟信号的同步
*/

module syn_rst_asyn_rels(
   input   clk,rst,
   output  sys_rst
   );

reg rst_r0;
reg rst_r1;

always@(posedge clk or posedge rst)  
begin
   if(rst==1'b1) begin
      rst_r0<=1'b0;
	  rst_r1<=1'b0;
   end
   else begin
      rst_r0<=1'b1;
      rst_r1<=rst_r0;	  
   end
end

assign sys_rst = rst_r1;

endmodule


  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值