verilog中的系统函数$random

$random系统函数提供了一个产生随机数的的方法,当函数被调用时返回一个32位的随机数,是一个带符号的整型数;
$random的用法:
$random % b 其中 b > 0, 产生一个范围在-b + 1 和 b - 1的随机数;
reg [23:0] rand;
rand = $random % 60; // -59 ~ 59

还可以通过位并接操作产生一个值在0 ~ 59之间的数;
rand = {$random % 60}; // 0 ~ 59

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值