systemverilog中rand机制的 $urandom_range()函数

使用SystemVerilog中的rand机制, 经常会用到$urandom_range()这个函数, 得到一个无符号的整型数.

语法:$urandom_range(int unsigned maxval,int unsigned minval = 0);​
有两个参数,一个上限参数和一个可选的下限参数。
功能:返回一个在maxval和minval之间的无符号整数

example:
val = $urandom_range(7,0); //结果是[0,7]
val = $urandom_range(0,7); //如果mixval比minval小,参数列表会自动反向
val = $urandom_range(7); //如果minval没有指定,默认为0

  • 6
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值