Modelsim单独仿真Quartus生成的FFT IP核

  自己在进行Modelsim仿真时一直提示“Instantiation of ‘asj_fft_si_se_so_b’ failed. The design unit was not found.”,因此多方找寻并尝试后,总结以下经验。

一、Quartus中创建FFT IP核

  如何使用Quartus软件在这里就不过多赘述,可参考链接[1]:quartus FFT IP核使用
  创建时,在Step:2中勾选Generate Simulation Model,语言选择你对应要使用的语言即可。除非真的要使用第三方EDA调用,否则单纯在Quartus或者Modelsim中使用就不要勾选Generate netlist!!!

二、仿真库文件

  单独使用Modelsim进行IP核的仿真都需要库文件,大家可以在Quartus安装目录,例如:D:\altera\13.1\quartus\eda\sim_lib,中找到所有 .v 结尾的文件,保存在sim_lib文件夹中,以后需要仿真时就把这个文件夹放置在仿真目录下。
在仿真时将sim_lib文件夹中文件全选加入,这些文件对应了各个IP核仿真所需要的库,主要是为了方便直接把所有的加入仿真项目中,这样就不用挨个找对应的库文件了。(所有IP核的仿真都可以把所有文件加入
Alt

三、FFT IP核仿真设置

  项目中除了加入上述库文件FFT_tb.v文件外,还需要插入FFT IP核的模块文件,注意是 .vo_我这里是fft_core.vo)结尾的文件而不是 .v而不是fft_core.v)。
Alt
最终结果就是在项目中显示就是这么多文件。(有些库文件可能这里用不到,但是放着也没事
Alt

四、编译及仿真

  

  1. Compile All
  2. Start Simulation
  3. 最终就可以顺利仿真了,结果如图:
    在这里插入图片描述
  • 6
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
vivado modelsim联合仿真FFT核的过程如下: 1. 首先,确保你已经安装了Vivado和ModelSim,并且配置好了开发环境。\[1\] 2. 打开Vivado,创建一个新的工程,并选择你的目标设备。 3. 在工程中添加FFT核。你可以在IP目录中找到FFT核的文件,一般路径为:xxxxx\ip\xfft_0。双击其中一个IP文件即可打开IP核所在路径。\[3\] 4. 生成FFT_IP核。在Vivado中,选择“Generate IP”选项,然后按照向导的指示进行操作,选择FFT核并生成IP核。 5. 配置仿真文件和激励文件。在IP核的目录中,找到文件xfft_0.vhd,这就是我们需要仿真IP核文件。将该文件拷贝到一个新的目录,例如D:\TEST,作为我们的仿真工程目录。\[3\] 6. 配置ModelSim。打开ModelSim,创建一个新的工程,并将仿真工程目录添加到工程中。 7. 仿真。在ModelSim中,编译仿真工程,并运行仿真。你可以使用Matlab进行验证。\[1\] 请注意,这只是一个大致的步骤指南,具体的操作可能会因为你的具体环境和需求而有所不同。建议你参考Vivado和ModelSim的官方文档或者其他详细的教程来进行具体操作。\[2\] #### 引用[.reference_title] - *1* *2* *3* [Modelsim仿真xilinx IP核FFT IP核为例)](https://blog.csdn.net/peppap/article/details/112410845)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值