【verilog】verilog实现RAM

"本文介绍了一种使用Verilog代码在Vivado中创建块RAM的方法,通过指定(*ram_style="block"*)属性确保代码被综合为实际的RAM,而不是一组寄存器。代码包括了读写操作,并在系统时钟上升沿进行数据存储和读取,同时提供了复位功能。"
摘要由CSDN通过智能技术生成

一种实现RAM的最简单方式,可以被vivado综合为ram,而非寄存器组:

(* ram_style="block" *)reg 	[7:0]	mem [0:15]	;

always@(posedge sys_clk)	begin
	mem[addr] <= din		;
end

always@(posedge sys_clk or posedge rst)	begin
	if(rst)	dout <= 8'd0				;
	else begin
		dout <= mem[addr]				;
	end
end

在这里插入图片描述

  • 2
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值