FPGA学习2- Modelsim仿真

 

 

前仿真和后仿真区别

1.前仿真: 不考虑延迟,也叫功能仿真

2.后仿真:考虑延迟,也叫时序仿真

 

联合仿真步骤:

1 .Quartusii 和Modelsim先联合起来

2. Quartusii 生成 Test bench文件模版  (.vt文件)

3. 编辑激励文件

4. Quartusii 关联激励文件

5. RTL仿真(功能仿真)

6. Gate level仿真(时序仿真)

 

 

 

手动仿真步骤

1. Modelsim新建工程,新建激励文件

2.编写激励代码

3.开始仿真(找不到work下的文件,删掉liabrary里面的work就会刷出正常的work)

4.添加波形,运行5us,也可以命令行输入run 5us

5.之后修改时间之后可以直接命令行输入restart -f

 

 

时序仿真步骤

1. 打开modelsim工程

2. 拷贝Quartus编译生成的Simulation文件夹下的.vo和.svo文件到sim文件下

3. 文件verilog_libs下的altera_ver和cycloneive_ver文件夹到sim文件下

4. Modelsim工程添加网表文件.vo

5. 编译,添加库 altera_ver和cycloneive_ver 

6. 开始仿真

可以看到有延时

 

 

 

 

 

 

 

 

 

 

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值