FPGA设计基础04——modelsim仿真

1.在编写完测试文件以后,就要用modelsim对文件进行仿真。首先,建立仿真文件夹,并放入两个文件,分别是.v的源文件和测试文件。
在这里插入图片描述
2.打开modelsim,新建工程,如图。
在这里插入图片描述
3.添加源文件和测试文件后,进行编译,有错误不能进行仿真。
在这里插入图片描述
在这里插入图片描述
4.找到仿真的测试文件,右键点击simulate开始仿真。
在这里插入图片描述
在这里插入图片描述
5.弹出仿真窗口,点击需要查看的信号,添加波形。
在这里插入图片描述
6.设置好运行的时间,开始运行。
在这里插入图片描述
在这里插入图片描述
由图可知,qqnum正确输出841185604并循环,仿真正确。

7.波形观察总结:

		时序逻辑:时钟上升沿前看输入,上升沿后看输出。
		
		组合逻辑:输入变,输出立马变。
		
		有时候点击仿真没有对应信号:原因是被自动优化了,可以用-novopt命令取消优化。
  • 2
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值