FPGA入门 Xilinx暑期学校学习Day2

早上的课程流程
1、SEA开发板简介
这部分没怎么听,自己用的EGO1,听起来SEA好用一些,而且本次课程的实验指导书用的也是那个板子,EGO1要多花点时间了。
2、FPGA开发流程
利用Vivado进行FPGA开发设计的操作流程,比较固定,硬件描述语言设计,写激励仿真,进行引脚绑定,生成BIT流下到板子里调试,网上资料很多。
然后讲了一些案例,Arduino+FPGA案例、ESP32+FPGA案例。这部分没怎么听懂,先把邸志雄老师的PPT放两张在这里,以便日后学习。
Arduino+FPGA案例
ESP32+FPGA案例
ESP32+FPGA案例
3、组合逻辑与时序逻辑
(1)组合:c = a & b
(2)时序:c <= a & b
(时序逻辑多了触发器)
(1)always @(*)
c = a & b
(2)always@(posedge clk)
c <= a & b
(赋值方式,时序逻辑用“<=”,组合逻辑用"=")
(I2C、SPI等接口协议类电路对时序要求严格)

4、可综合Verilog HDL入门
HDL☞硬件描述语言,特点是互连、并发、时间。
HDL特点
可综合的四大法宝:if-else\always\case\assign
只能用于Testbench:for\function\fork-join\while

慎用Latch(锁存器):综合器不能过滤毛刺
解决方法:
(1)使用完备的if-else
(2)case设置default
(3)检查综合器报告,Latch会以warning形式报告

最后就是学习FPGA要有硬件思维,即代码不是一行行的代码,而是一块一块的硬件模块。

实验与课后习题
开始的4个实验我没有做完,完成实验应该不难,代码和操作流程都在指导书上,自己要仿写一下Testbench文件,开发板不同要换引脚,个人推荐利用IO planning进行引脚绑定,比新建XDC文件手动输方便多了。

课后习题总结几个犯过的错误吧
1、真的太低级太低级了,这次仿真频率比较低,只有10、20Hz,我跑的时间太短了,半个周期都没有跑完,所以分频一直低电平、全0,检查了好久才发现问题。
2、“complie error”与“elaborate error”
可以试着解决一下

3、等有空了,知识完备了再来整理一下reg、wire的使用。

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
### 回答1: Xilinx 7系列FPGA是一种常用的FPGA系列之一,适合初学者入门。以下是关于基于Xilinx 7系列FPGA基础入门的回答: 首先,了解Xilinx 7系列FPGA的核心特点是很重要的。这个系列的FPGA具有良好的性能、可靠性和灵活性,可以适用于各种不同的应用。同时,它还有一种称为“逻辑单元”的资源,可以实现各种数字逻辑功能。 为了开始基于Xilinx 7系列FPGA入门学习,你需要熟悉使用Xilinx工具套件。其中最重要的是Vivado设计套件,它为FPGA开发和实现提供了一个全面的解决方案。你可以通过下载并安装Vivado软件来开始你的学习。 一旦你熟悉了Vivado软件,你可以创建一个新的工程,并选择适合你的FPGA型号。然后,你可以使用Vivado设计套件的图形界面来设计你的电路。你可以使用逻辑模块、FIFO、RAM等等来构建你的电路。此外,Vivado还提供了一些示例设计,你可以借鉴和修改这些设计,以适应你的应用需求。 在设计完成后,你需要将设计编译成比特流文件。这个文件包含了FPGA配置的信息。接下来,你需要使用编程器将比特流文件加载到FPGA芯片中。Xilinx的编程器通常是通过JTAG接口与FPGA连接,并将比特流文件写入FPGA。 一旦比特流文件被加载到FPGA中,你就可以对你的设计进行测试和验证。你可以使用外部输入和输出接口,以及其他周边设备与FPGA交互。你可以编写测试程序来验证电路的功能和性能。 总的来说,基于Xilinx 7系列FPGA的基础入门需要掌握Vivado设计套件的使用和FPGA设计的基本原理。通过学习和实践,你可以逐渐掌握FPGA开发过程,并能够设计和实现自己的数字逻辑电路。 ### 回答2: Xilinx 7系列FPGA(可编程逻辑器件)是Xilinx公司推出的一款适用于各类应用的FPGA产品系列。它具有良好的性能和灵活的可编程性,适用于用于高性能计算、通信、图像处理、以及其他嵌入式系统的开发。 在学习7系列FPGA之前,我们需要了解FPGA的基本概念和工作原理。FPGA是可编程逻辑门阵列,它可以通过重新编程来实现不同的电路功能。它由大量的可编程逻辑单元(LUTs)、寄存器、开关、时钟网和输入输出引脚组成。 基于7系列FPGA入门步骤如下: 1. 学习FPGA的基础知识:了解FPGA的基本概念、工作原理和编程模型。 2. 学习VHDL或Verilog语言:VHDL和Verilog是用于FPGA设计的硬件描述语言,学习其中一种语言可以帮助我们理解和编写FPGA设计。 3. 安装开发工具:Xilinx公司提供了Vivado设计套件作为开发工具,我们需要下载并安装它。 4. 学习Vivado的使用:Vivado是用于FPGA设计和实现的集成开发环境,可以实现硬件设计、仿真、综合和布局布线等功能。 5. 编写并仿真设计:使用VHDL或Verilog语言编写我们的FPGA设计,并进行仿真以验证设计的正确性。 6. 实现设计:将设计综合成门级网表,并通过布局布线生成位流文件。 7. 下载位流文件至FPGA:将位流文件下载到7系列FPGA开发板上,使之运行我们的设计。 基于7系列FPGA入门学习可以帮助我们理解和使用FPGA技术,为进一步深入设计和开发提供基础。通过不断的实践和实际项目应用,我们可以逐步提升对FPGA的理解和掌握,并实现更复杂的硬件设计。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值