FPGA入门 Xilinx暑期学校学习Day4

知识点梳理
根据刘伟老师的PPT简单整理出来的,主要是接口原理、结构、实现。
1、7系列FPGA IO接口介绍
IO端口

ISERDES:串并转换
IDELAY\ODELAY:主要是外部的时序,复杂的项目需要关注

2、SPI接口(本质类似移位寄存器)
串行外设接口
用于芯片通信如FPGA、MCU和外围设备之间进行通信(EEPROM、FLASH、ADC、RTC)
同步串行通讯接口规范
全双工模式通信
SPI规定了4个逻辑信号接口:
(1)SCLK:主机发出的时钟信号
(2)MOSI:主机输出从机输入信号
(3)MISO:主机输入从机输出信号
(4)SS:主机发出的片选信号,一般为低电平
SPI总线:单一主机对单一/多个从机

3、HDMI(高清多媒体接口)
传送无压缩的音频信号及高分辨率的视频信号
可向下兼容DVI接口

管脚定义
HDMI TMDS 传输数据类型
(1)control(控制信息):主要用于控制接下来传输的数据是data island 或者 video data
(2)data island(数据包):音频数据包、图像信息包等各种类型的包信息
(3)video data(视频信息):可传输RGB、YUV两种格式的像素数据
实现
在这里插入图片描述

根据HDMI Specification:
	1080P/60Hz输出的pixel时钟为148.5MHz(2200*(1080+45)*60)
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值