序列信号发生器

序列信号发生器,在clk信号作用下周期性输出“0010110111"

module seqgen( clk, rst_n, Q);
	input clk, rst_n;
	output Q;
    reg Q;
    reg [9:0] Q_temp;

    always@(posedge clk or negedge rst_n) begin
        if(!rst_n) begin
            Q <= 1'b0;
            Q_temp <= 10'b0010110111;
        end
        else begin
            Q <= Q_temp[9];
            Q_temp <= {Q_temp[8:0],Q_temp[9]};
        end
    end
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值