自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(12)
  • 收藏
  • 关注

原创 传播延时实验

传播延时1.实验目的(1)掌握传播延时模型进行仿真,从而快速验证模块的功能特性(2)利用quartusll和modelsim联合仿真进行传播延时实验2.实验内容从输入信号发生变化的时刻到输出响应变化的时刻之间的时间为实际逻辑门的传播延时,verilog中的基本门原语被默认为是零延时。3.实验工具:Modelsim软件和Quartusll软件4.实验截图:5.实验代码:module Add_full_unit_delay (output c_out,sum, input a, b,

2021-06-21 15:58:34 320

原创 有限状态机的设计实验

有限状态机的设计1.实验目的(1)掌握有限状态机的写法。(2)理解三段式与两段式的写法和区别。2.实验涉及语法(1)第4章行为级建模的部分语法。(2)第8章有限状态机的三段式写法。3.实验内容本实验要完成一个序列信号检测器,检测信号为 10010,当检测到此序列时输出端口输出高电平,其余时间输出低电平。4.实验工具:Modelsim软件和Quartusll软件5.实验截图:6.实验代码:(1)源代码module s7 (x,z,clk,reset) ;input x,cl

2021-06-21 15:44:10 1162

原创 阻塞赋值语句实验

阻塞赋值语句实验1.实验目的:利用Quartus ii软件和Modelsim软件进行阻塞赋值语句的实验2.实验内容:3.实验代码module example5_3 (D, Clock, Q1, Q2) ; input D, Clock; output reg Q1, Q2; always@ (posedge Clock) begin Q1=D; Q2=Q1; end endmodule4.实验原理:5.实验工具:Modelsim软件和Quartusll软件6.实验截图:7.实

2021-06-21 15:19:06 183

原创 独热码状态机 SR锁存器延迟模型 移位除法器模型

独热码状态机进行时序电路设计时,一般都要根据设计要求画出状态转换图,然后根据状态图来确定如何编写代码。该实例状态转换图如下状态转换图编写模块代码如下module ex8_1(clock,reset,x,y1,y2);input clock,reset;input x;output y1,y2;reg y1,y2;reg[3:0] cstate,nstate;parameter s0=4’b0001,s1=4’b0010,本例中采用独热码,当然使用二进制码也可s2=4’b0100,s

2021-06-13 01:43:57 423

原创 2021-05-29

主从触发器的门级建模1.实验目的:利用Quartus ii软件和Modelsim软件进行主从触发器的门级建模2.实验内容:源代码测试代码3.实验原理:4.实验工具:Modelsim软件和Quartusll软件5.实验截图:6.实验视频:请下载哔哩哔哩动画打开此网址:https://b23.tv/4gAjko7.实验代码:module MSDFF (Q , Qbar , D , C ) ;output Q, Qbar ;input D, C;notnot1(N

2021-05-29 14:16:11 138

原创 2021-05-22

数电第二章中的主从结构D触发器进行数据流级建模1.实验目的:利用Quartus ii软件和Modelsim软件进行主从结构D触发器进行数据流级建模2.实验内容:测试代码3.实验原理:代码具体原理参照书上内容。4.实验工具:Modelsim软件和Quartusll软件5.实验截图:6.实验视频:请下载哔哩哔哩动画打开此网址:https://b23.tv/xLtIBT7.实验代码:module MSDFF (Q,Qbar,D, C);output Q, Qbar;i

2021-05-22 15:56:06 124

原创 2021-05-21

Modelsim基本仿真流程1.实验目的:利用Quartus ii软件和Modelsim软件进行代码仿真。2.实验内容:3.实验原理:代码具体原理参照书上内容。4.实验工具:Modelsim软件和Quartusll软件5.实验截图:6.实验视频:请下载哔哩哔哩动画打开此网址:https://b23.tv/xwhm3n7.实验代码:module fulladd (sum, c_out,a,b,c_in) ;output sum,c_out;input a,b,c_in

2021-05-21 22:35:44 66

原创 2021-05-21

译码器的门级建模1.实验目的:利用Quartus II软件和Modelsim软件进行译码器的门级建模2.实验内容:参照书上内容进行译码器的门级建模3.实验原理:具体原理参照书上内容。4.实验工具:Modelsim和Quartusll5.实验截图:6.实验视频:请下载哔哩哔哩动画打开此网址:https://b23.tv/2JXrCm7.实验代码:module DEC2x4 (Z,A,B,Enable );output [3:0] Z;input A,B,Enable;

2021-05-21 22:27:10 137

原创 2021-05-09

Quartusll实验报告Verilog Modelsim仿真1.实验目的:利用Quartus ii软件和Modelsim软件进行图片仿真和代码仿真。2.实验内容:参照书上内容完成代码仿真。3.实验原理:代码具体原理参照书上内容。4.实验工具:Modelsim软件和Quartusll软件5.实验截图:6.实验视频:请下载哔哩哔哩动画打开此网址:https://b23.tv/S8RAZ47.实验代码:module fulladd(sum,c_out,a,b,c_in);

2021-05-09 15:40:33 61

原创 2021-05-09

Quartus I I实验报告4四位加法器的门级建模1.实验目的:利用Quartus II软件和Modelsim软件进行四位加器门级建模2.实验内容:参照书上内容进行四位加器门级建模3.实验原理:具体原理参照书上内容。4.实验工具:Modelsim和Quartusll5.实验截图:6.实验视频:请下载哔哩哔哩动画打开此网址:https://b23.tv/eUhsdC7.实验代码:module fulladd(S,Cout,Cin,A,B);output S,Cout;

2021-05-09 15:23:14 71

原创 2021-04-10

quartusll和modelsim联合仿真1.实验目的:下载Quartur ii软件和modlsim并进行联合仿真。2.实验内容:参照哔哩哔哩中教程的代码,然后用quartus ii和modlsim进行联合仿真3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作。4实验工具:pc机和Quartur ii软件和modlsim软件。5.实验截图:6.实验视频:请下载哔哩哔哩动画打开此网址:https://b23.tv/5LIB8T7.实验代码:module t

2021-04-10 22:31:01 214

原创 2021-03-14

Quartus I I

2021-03-14 22:42:04 217

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除