实验:《不恢复余数的阵列除法器》

这篇博客介绍了如何使用VHDL实现不恢复余数的阵列除法器,详细展示了实验过程,包括代码实现和组件设计。通过对比4位加法器,强调了自己动手实践的重要性。
摘要由CSDN通过智能技术生成

实验:《不恢复余数的阵列除法器》


《计算机组成原理第四版》(白中英主编)

P42实验:《不恢复余数的阵列除法器》


注释:本文代码分为两个文件:cas.vhd 以及 cas_4.vhd 。全部代码如下:

---------------------------------------

---Name:cas

---Project:VHDLProject

---------------------------------------

library ieee;

useieee.std_logic_1164.all;

entity cas is

port( a,b,p,co:instd_logic;

     s,ci,bo  :out std_logic);

end cas;

 

architecture b_cas of casis

begin

   <= a xor ( b xor p) xor co;

   ci<= (( a or co ) and ( b xor p )) or ( a and co);

bo<=b;

end b_cas;

 

 

-----------------------------------------

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值