Verilog设计_除法器

一个简单的除法器设计。

 

目录

一、除法器概述

二、代码实现


一、除法器概述

除法器即实现除法运算的逻辑电路。相比于乘法器和全加器,除法器的设计要稍微复杂一些。

除法运算过程如下:

(1) 取被除数的高位数据,位宽和除数相同。

(2) 将被除数高位数据与除数作比较,如果前者不小于后者,则可得到对应位的商为 1,两者做差得到第一步的余数;否则得到对应的商为 0,将前者直接作为余数。
(3) 将上一步中的余数与被除数剩余最高位 1bit 数据拼接成新的数据,然后再和除数做比较。可以得到新的商和余数。
(4) 重复过程 (3),直到被除数最低位数据也参与计算。

例如29➗5,计算过程:

二、代码实现

上代码:

module divider(
    input clk,
    input rst_n,
    input [15:0] ain,
    input [7:0]  bin,
    output reg [15:0] cout,
    output reg [15:0] rem
);

    integer i;
    reg [15:0] a_reg;
    reg [7:0]  b_reg;
    reg [31:0] tmp_a;
    reg [31:0] tmp_b;

    always@(*)begin
        a_reg = ain;
        b_reg = bin;
    end

    always@(*)begin
        tmp_a = {16'h0, a_reg};
        tmp_b = {b_reg, 16'h0};

        for(i=0;i<16;i=i+1)begin
                tmp_a = tmp_a << 1;
                if(tmp_a >= tmp_b)begin
            	    tmp_a = tmp_a - tmp_b +1;
                end
                else begin
            	    tmp_a = tmp_a;
                end
        end

        assign cout = tmp_a[15:0];
        assign rem  = tmp_a[31:16];
    end

endmodule

测试波形:

 为了方便观察结果以十进制显示。

 

  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Clock_926

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值