<<编码>> 第 12 章 二进制加法器--半加器 示例电路

异或门

info::操作说明

鼠标单击逻辑输入切换 0|1 状态

异或门的符号为 或门 符号输入处再添加一道弧线.

异或门的输出等价于将两个输入分别接入一个或门和一个与非门, 再对这两者输出结果取与的结果.

读者可对照着 或门, 与非门 和 与门 的真值表在示例电路中验证这一点.

请添加图片描述

primary::在线交互操作链接

https://cc.xiaogd.net/?startCircuitLink=https://book.xiaogd.net/code-hlchs-examples/assets/circuit/code-hlchs-ch12-02-xor.txt

半加器内部构成

info::操作说明

鼠标单击逻辑输入切换 0|1 状态

请添加图片描述

primary::在线交互操作链接

https://cc.xiaogd.net/?startCircuitLink=https://book.xiaogd.net/code-hlchs-examples/assets/circuit/code-hlchs-ch12-03-half-adder-internal.txt

半加器

info::操作说明

鼠标单击逻辑输入切换 0|1 状态

请添加图片描述

primary::在线交互操作链接

https://cc.xiaogd.net/?startCircuitLink=https://book.xiaogd.net/code-hlchs-examples/assets/circuit/code-hlchs-ch12-04-half-adder.txt

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值