m_sequencer和p_sequencer

每次重读白皮书,总能看到之前忽视掉的很多,总会有些许收获。

1.m_sequencer和p_sequencer

1.类型

        m_sequencer 是每个sequence中都有的默认成员变量,它的类型是uvm_sequencer_base类型,它是指向当前sequence的sequencer句柄;
        p_sequencer 需要使用`uvm_declare_p_sequencer(my_sequencer)声明,类型是my_sequencer类型(my_sequencer是自定义的类,继承于uvm_sequencer);

2.用法

        所有的sequence都要在sequencer中启动,例如case0_sequence.start(my_sequencer),当sequence启动的时候,m_sequencer 句柄就指向了my_sequencer;

        若case0_sequence.start(my_sequencer1),则此时m_sequencer就指my_sequencer1; 

sequence作为一个uvm_object类,必须通过sequencer才能访问uvm_component组件


3.联系        

        直接使用m_sequencer会报错,因为m_sequencer是uvm_sequencer_base类型,必须转换为my_sequencer类型才行。这时候引入了p_sequencer。
        通过宏声明my_sequencer类型的名为p_sequencer句柄,将m_sequencer类型转为p_sequencer,可以直接调用p_sequencer实现seq访问component类

2.virtual sequencer和sequence

1.概述

virtual sequence:承载不同目标sequencer的sequence群落,实现sequence同步;virtual sequence一般只会挂载到virtual sequencer上,且没有自己的sequence_item,只用于控制其他的sequence执行顺序,起统一调度作用。


virtual sequencer:桥接其它sequencer,即连接所有底层sequencer的句柄(指针),是一个中心化的路由器。virtual sequencer本身并不传送item数据对象,因此不需要与driver进行TLM连接。所以用户需在顶层的connect阶段做好virtual sequencer中各个sequencer句柄与sequencer实体对象的一一连接,避免句柄悬空。
 

2.用法

        实现seq之间同步最好的方法就是使用virtual seq,实现vseq最好搭配vsqr,在base test中实例化vsqr,并将sqr赋给vsqr中的sqr指针。

  • 9
    点赞
  • 65
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值