【m_sequencer与p_sequencer】

sequence访问component组件的局限性

        UVM sequence是具有生命周期的,不是在仿真的开始,而是 在仿真的过程中 生成并分配内存的,也没有类似uvm_component的层次结构。

        随着仿真的进行,将创建一个或多个UVM sequence,并在sequencer上启动这些序列。sequencer-driver之间的接口 将一个或多个sequence 作为激励发送给DUT。一旦sequence 发送完成,对应的内存将得到释放。

        sequence有的时候会有访问验证平台中conponent组件中的一些块。但sequence并不在平台的结构层次中。 因此实现sequence访问别的component就比较困难。

解决办法:引入m_sequencer

       sequence唯一可以访问的句柄是它当前运行的sequencer 。因此,sequence可以通过sequencer 访问测试平台中层次结构。

       m_sequencer 是uvm_sequencer_base类型的句柄,默认情况下在每个sequence中都可用。 而连接到driver 的真正的sequencer 是从uvm_sequencer_base类派生出来的uvm_sequencer类型,所以如果使用m_sequencer句柄来引用sequencer,会产生编译错误。

      因此要访问正在运行sequence 的真实sequencer ,我们需要将m_sequencer类型转化为真实sequencer ,通常称为 p_sequencer 。使用$cast函数转化。

使用方法

sequence 希望访问sequencer的 clock monitor组件,有两种办法,分别为手动转换,和使用宏的方式自动转换。

class test_sequencer_c extends uvm_sequencer;
    clock_monitor_c    clk_monitor;

endclass

//假如sequence想要访问sequencer中的clock_monitor,那么首先需要将该sequencer在sequence中声明,得到sequencer的句柄,即p_sequencer,然后使用cast动态转换,将m_sequencer转换成p_sequencer。

class test_sequence_c extends uvm_sequence #(ahb_sequence_item);
     'uvm_object_utils(test_sequence_c)
    test_sequencer_c   p_sequencer;     //1.声明要操作的sequencer句柄
    clock_monitor_c    my_clock_monitor;

    task pre_body()     //将m_sequencer类型转化为真实sequencer

        if(!$cast(p_sequencer, m_sequencer)) begin  //2.动态转换
        `uvm_fatal("Sequencer Type Mismatch:", " Worng Sequencer");
        end
        my_clock_monitor = p_sequencer.clk_monitor;  //使用p_sequencer调用clock_monitor
    endtask

//此处有一个简化操作,如果在该sequence中声明如下宏:
// 'uvm_declare_p_sequencer(test_sequencer_c)
//这条宏声明会自动完成上面的步骤一和步骤二,直接生成一个p_sequencer句柄。非常的便捷。

endclass

参考:在UVM中,什么是p_sequencer和m_sequencer?_数字芯片实验室-商业新知

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值