Formal-AEP的使用

1、Formal-AEP简介
自动功能分析,用于出界数组、算术溢出、X 分配、同时设置/重置、完全案例、并行情况、多驱动器/冲突总线和浮动总线检查,无需专门的测试。
例如:自动提取module中的property,帮助早期发现function中的bug,

2、具体使用方法
1)调用formal,首先要用到.tcl文件,例如编写一个run.tcl文件

set_fml_appmode AEP //选择使用formal的哪一个apps,这里使用的是AEP
set design top_module //设置top_module
//读取文件,-top 顶层文件的指定,-format sverilog 识别sv,-aep 添加对应检查项的开关,bounds_check 边界检查,x_assign X态赋值检查
read_file -top $design -format sverilog -aep bounds_check+x_assign \
-vcs {-f ../design/filelist} //指定仿真工具,filelist的路径

create_clock clk -period 100 //设定clk的周期
create_reset rst -sense high //设定rst的有限电平,这里是高电平有效

sim_run -stable	//等待仿真运行稳定后
sim_save_reset //存储稳定后的状态


  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值