自学笔记1 深拷贝浅拷贝

const old0bj = {
    name: '张三',
    age: 30,
    colors: ['orange', 'green', 'blue'],
    friend: {
        name: '李四'
    }
}

function deepClone(obj) {
    if (typeof obj !== 'object' || obj == null) {
        return obj
    }

    let result;

    if (obj instanceof Array) {
        result = []

    } else {
        result = {}
    }

    for (let key in obj) {
        if (obj.hasOwnProperty(key)) {
                         //递归 很重要 这一步递归操作很重要
            result[key] = deepClone(obj[key])
        }
    }

    return result

}

const new0bj2 = deepClone(old0bj);
new0bj2.friend.name = '吕布';
new0bj2.colors[0] = 'purple'
new0bj2.age = 40
console.log('old0bj', old0bj);
console.log('new0bj2', new0bj2);

在SystemVerilog中,深拷贝浅拷贝是指在复制对象时是否复制对象的内容。 浅拷贝是指仅复制对象的引用,而不复制对象的内容。当进行浅拷贝时,源对象和目标对象将指向同一个内存位置。如果修改了其中一个对象的内容,另一个对象也会受到影响。例如,在SV中,如果复制一个包含句柄的类对象,那么句柄将被复制,但实际对象不会被复制。这意味着修改其中一个对象的属性将影响另一个对象的属性。 深拷贝是指复制对象的内容和数据成员。在进行深拷贝时,每一个数据成员(包括嵌套的对象)都会被复制到新的对象中。这样,源对象和目标对象将拥有独立的内存空间,彼此之间的修改互不影响。在SV中,为了实现深拷贝,通常需要自定义`copy`函数来复制每一个数据成员。 需要注意的是,深拷贝可能会产生更多的内存开销,因为每个数据成员都需要复制。而浅拷贝则更加高效,因为只复制了引用。 综上所述,在SystemVerilog中,深拷贝浅拷贝是指复制对象时是否复制对象的内容。深拷贝会复制对象的内容和数据成员,而浅拷贝仅复制对象的引用。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [【sv中浅拷贝深拷贝】](https://blog.csdn.net/m0_56242485/article/details/123189393)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT0_1"}}] [.reference_item style="max-width: 50%"] - *2* [SV学习笔记—浅复制和深复制的区别详解](https://blog.csdn.net/qq_41337361/article/details/122845943)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT0_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值