加法器电路

1)加法器1:

在这里插入图片描述

图3
图三中,由虚短知: V- = V+ = 0 ……a
由虚断及基尔霍夫定律知,通过R2与R1的电流之和等于通过R3的电流,故 (V1 – V-)/R1 + (V2 – V-)/R2 = (V- –Vout)/R3 ……b
代入a式,b式变为V1/R1 + V2/R2 = Vout/R3 如果取R1=R2=R3,则上式变为-Vout=V1+V2,这就是传说中的加法器了。

1)加法器2:

在这里插入图片描述

图4
请看图四。因为虚断,运放同向端没有电流流过,则流过R1和R2的电流相等,同理流过R4和R3的电流也相等。
故 (V1 – V+)/R1 = (V+ - V2)/R2 ……a
(Vout – V-)/R3 = V-/R4 ……b
由虚短知: V+ = V- ……c 如果R1=R2,R3=R4,则由以上式子可以推导出 V+ = (V1 + V2)/2 V- = Vout/2 故 Vout = V1 + V2 也是一个加法器,呵呵!

  • 3
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
我无法提供具体的电路图,但我可以解释一下Verilog加法器电路图。Verilog加法器通常使用全加器的模块来实现。全加器是一种可以将两个输入和一个进位输入相加的电路。Verilog加法器电路图可以分为两种类型:进位保存加法器(Carry Save Adder/CSA)和串行/行波进位加法器(Ripple-Carry Adder/RCA)。 进位保存加法器使用多个全加器并行计算各个加法器的进位,而不需要逐位传递进位信号。它可以通过计算结果的四级延时来实现。每个全加器的进位输入信号由逻辑电路提前计算得出,然后在最后的计算中使用。进位保存加法器的Verilog代码示例如下: ``` module lca_4( input [3:0] A_in , input [3:0] B_in , input C_1 , output wire CO , output wire [3:0] S ); wire [3:0] P,G,C; genvar i; generate for(i=0;i<4;i=i+1) begin:adder assign P[i] = A_in[i] ^ B_in[i]; assign G[i] = A_in[i] & B_in[i]; assign C[i] = (i==0)?(G[i] | P[i] & C_1) : (G[i] | P[i] & C[i-1]); assign S[i] = (i==0)?(P[i] ^ C_1) : (P[i] ^ C[i-1]); end endgenerate assign CO = C; endmodule ``` 另一种类型的Verilog加法器是串行/行波进位加法器。它是一种简单的加法器,从最低位开始,逐位计算结果,并将进位传递给下一位。Ripple-Carry Adder的Verilog代码示例如下: ``` module add_full( input A , input B , input Ci , output wire S , output wire Co ); wire c_1; wire c_2; wire sum_1; add_half add_half_1( .A (A), .B (B), .S (sum_1), .C (c_1) ); add_half add_half_2( .A (sum_1), .B (Ci), .S (S), .C (c_2) ); assign Co = c_1 | c_2; endmodule ``` 这两种加法器的Verilog代码可以根据需求进行修改和扩展,并通过适当的连接和实例化来构建完整的加法器电路。请注意,这里只提供了示例代码,并非完整的电路图。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [verilog手撕代码2——各种加法器介绍——真值表、表达式、电路图](https://blog.csdn.net/qq_42135020/article/details/130359426)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

创想工作室

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值