类结构中的class_rw_t与class_ro_t

在OC的类结构中,存在这样的结构:

struct objc_class : objc_object {
    // Class ISA;
    Class superclass;
    cache_t cache;             // formerly cache pointer and vtable
    class_data_bits_t bits;    // class_rw_t * plus custom rr/alloc flags

    class_rw_t *data() { 
        return bits.data();
    }
    ...
    ...
}

类结构中包含了class_data_bits_t结构,这个结构实际上上是由一个指针(class_rw_t *)和一些关于类初始化状态的的标识组成.可以通过

#if !__LP64__
    ...
// data pointer
#define FAST_DATA_MASK        0xfffffffcUL
...
#elif 1
...
#define FAST_DATA_MASK          0x00007ffffffffff8UL
...
#else
...
#define FAST_DATA_MASK          0x00007ffffffffff8UL
...
#endif
class_rw_t* data() {
    return (class_rw_t *)(bits & FAST_DATA_MASK);
    //FAST_DATA_MASK的定义跟ISA_MASK很相近
}

来获取类结构中的class_rw_t指针.而class_rw_t结构中又包含了一个const class_ro_t *类型的指针实现,那么class_rw_t和class_ro_t这两个看起来只有一个字符差距的结构有什么不一样呢?

成员变量的不同

包含基本成员变量的不同

struct class_ro_t {
    uint32_t flags; // class_rw_t也有
    uint32_t instanceStart; //class_rw_t没有
    uint32_t instanceSize;//class_rw_t没有
#ifdef __LP64__
    uint32_t reserved;
#endif

    const uint8_t * ivarLayout;
    
    const char * name;
    method_list_t * baseMethodList;//与class_rw_t中的methods对应
    protocol_list_t * baseProtocols;//与class_rw_t中的protocols对应
    const ivar_list_t * ivars; //class_rw_t没有

    const uint8_t * weakIvarLayout;//class_rw_t没有
    property_list_t *baseProperties; //与class_rw_t中的properties对应
    /*
    class_rw_t多出了以下成员变量
            Class firstSubclass;
            Class nextSiblingClass;
            char *demangledName;

        */


    ...
    ...
}

对比发现,class_ro_t结构中与class_rw_t对应的的主要成员变量都使用了base做区分,说明class_ro_t的结构更加贴近类本身的结构,class_rw_t像是类拓展出来的.

可变性不同

struct class_rw_t {
    ...

    const class_ro_t *ro;

    ...

}

在关于类的实现中,几乎所有引用到class_ro_t变量的地方都是使用了const关键字做修饰,更像是一个静态不愿意被外界修改的属性;而引用到class_rw_t变量就没有这样的限制.

作用不同

使用objc源码进行调试,在main中创建对象

int main(int argc, const char * argv[]) {
    @autoreleasepool {
        NSObject *obj = [[NSObject alloc] init];
    }
}

并在setData处进行断点:

struct objc_class : objc_object {
    // Class ISA;
    Class superclass;
    cache_t cache;             // formerly cache pointer and vtable
    class_data_bits_t bits;    // class_rw_t * plus custom rr/alloc flags

    class_rw_t *data() { 
        return bits.data();
    }
    void setData(class_rw_t *newData) {
        bits.setData(newData); //在此处下断点
    }
    ...
    ...
}

然后会发现如下调用:

发现在_read_images之后就调用了realizeClassWithoutSwift函数:

    ro = (const class_ro_t *)cls->data();
    if (ro->flags & RO_FUTURE) {
        // This was a future class. rw data is already allocated.
        rw = cls->data();
        ro = cls->data()->ro;
        cls->changeInfo(RW_REALIZED|RW_REALIZING, RW_FUTURE);
    } else {
        // Normal class. Allocate writeable class data.
        rw = (class_rw_t *)calloc(sizeof(class_rw_t), 1);
        rw->ro = ro;
        rw->flags = RW_REALIZED|RW_REALIZING;
        cls->setData(rw);
    }

而此时ro已经是一个实例化之后的class_ro_t *指针,所以class_ro_t *是在类编译时就已经完成初始化赋值且不可被改变的.而class_rw_t结构则是可以通过方法进行修改.例如:

static SEL *
addMethods(Class cls, const SEL *names, const IMP *imps, const char **types,
           uint32_t count, bool replace, uint32_t *outFailedCount)
{
    runtimeLock.assertLocked();
    
    assert(names);
    assert(imps);
    assert(types);
    assert(cls->isRealized());
    
    ...
    ...
    
    if (newlist->count > 0) {
        // fixme resize newlist because it may have been over-allocated above.
        // Note that realloc() alone doesn't work due to ptrauth.
        
        method_t::SortBySELAddress sorter;
        std::stable_sort(newlist->begin(), newlist->end(), sorter);
        
        prepareMethodLists(cls, &newlist, 1, NO, NO);
        cls->data()->methods.attachLists(&newlist, 1);
        flushCaches(cls);
    } else {
        // Attaching the method list to the class consumes it. If we don't
        // do that, we have to free the memory ourselves.
        free(newlist);
    }
    
    if (outFailedCount) *outFailedCount = failedCount;
    
    return failedNames;
}

所以可以理解为class_ro_t存储的是类在编译期就已经确定的特性,而class_rw_t则是提供在运行时进行类延展的能力.

需要注意的是,通过运行时使用

void objc_setAssociatedObject(id object, const void *key, id value, objc_AssociationPolicy policy) {
    _object_set_associative_reference(object, (void *)key, value, policy);
}

来为对象添加属性并不是通过class_rw_t来实现的,而是通过全局维护的hashMap来实现的.

根据提供的信息,我们可以将Excel表格的信息转化为如下的UVM RAL模板: ```systemverilog // 寄存器地址定义 localparam int REG_ADDR_CHNL0_CTRL = 32'h00000000; localparam int REG_ADDR_CHNL1_CTRL = 32'h00000004; localparam int REG_ADDR_CHNL2_CTRL = 32'h00000008; localparam int REG_ADDR_CHNL0_STAT = 32'h00000010; localparam int REG_ADDR_CHNL1_STAT = 32'h00000014; localparam int REG_ADDR_CHNL2_STAT = 32'h00000018; // 寄存器访问权限定义 typedef enum bit [1:0] { REG_ACCESS_RW = 2'b00, REG_ACCESS_RO = 2'b01, REG_ACCESS_WO = 2'b10, REG_ACCESS_RSVD = 2'b11 } reg_access_e; // 字段访问权限定义 typedef enum bit [1:0] { FIELD_ACCESS_RW = 2'b00, FIELD_ACCESS_RO = 2'b01, FIELD_ACCESS_RSVD = 2'b11 } field_access_e; // my_reg_block_pkg.sv package my_reg_block_pkg; // 寄存器定义 class my_reg_block extends uvm_reg_block; // 通道0控制寄存器 uvm_reg chnl0_ctrl; // 通道1控制寄存器 uvm_reg chnl1_ctrl; // 通道2控制寄存器 uvm_reg chnl2_ctrl; // 通道0状态寄存器 uvm_reg chnl0_stat; // 通道1状态寄存器 uvm_reg chnl1_stat; // 通道2状态寄存器 uvm_reg chnl2_stat; // 构造函数 function new(string name = "my_reg_block"); super.new(name, `UVM_NO_COVERAGE); // 通道0控制寄存器 chnl0_ctrl = uvm_reg::type_id::create("chnl0_ctrl"); chnl0_ctrl.configure(this, null, REG_ADDR_CHNL0_CTRL, 32'h0, "RW", 32'h0, 0, 0); chnl0_ctrl.set_access("RW"); // 通道1控制寄存器 chnl1_ctrl = uvm_reg::type_id::create("chnl1_ctrl"); chnl1_ctrl.configure(this, null, REG_ADDR_CHNL1_CTRL, 32'h0, "RW", 32'h0, 0, 0); chnl1_ctrl.set_access("RW"); // 通道2控制寄存器 chnl2_ctrl = uvm_reg::type_id::create("chnl2_ctrl"); chnl2_ctrl.configure(this, null, REG_ADDR_CHNL2_CTRL, 32'h0, "RW", 32'h0, 0, 0); chnl2_ctrl.set_access("RW"); // 通道0状态寄存器 chnl0_stat = uvm_reg::type_id::create("chnl0_stat"); chnl0_stat.configure(this, null, REG_ADDR_CHNL0_STAT, 32'h0, "RO", 32'h0, 7, 0); chnl0_stat.set_access("RO"); // 通道1状态寄存器 chnl1_stat = uvm_reg::type_id::create("chnl1_stat"); chnl1_stat.configure(this, null, REG_ADDR_CHNL1_STAT, 32'h0, "RO", 32'h0, 7, 0); chnl1_stat.set_access("RO"); // 通道2状态寄存器 chnl2_stat = uvm_reg::type_id::create("chnl2_stat"); chnl2_stat.configure(this, null, REG_ADDR_CHNL2_STAT, 32'h0, "RO", 32'h0, 7, 0); chnl2_stat.set_access("RO"); endfunction endclass // 字段定义 class my_reg_field extends uvm_reg_field; // 构造函数 function new(string name = "my_reg_field", int size = 1, int offset = 0, string access = "RW", bit reset = 0); super.new(name, size, offset, access, reset, `UVM_NO_COVERAGE); endfunction endclass // 通道0控制寄存器字段定义 class chnl0_ctrl_fields extends uvm_reg_fields; // 通道使能 my_reg_field chnl_en; // 优先级等级 my_reg_field prio_level; // 数据包长度 my_reg_field pkt_len; // 保留字段 my_reg_field reserved; // 构造函数 function new(string name = "chnl0_ctrl_fields"); super.new(name, `UVM_NO_COVERAGE); chnl_en = my_reg_field::type_id::create("chnl_en", 1, 0, "RW", 1'b0); prio_level = my_reg_field::type_id::create("prio_level", 2, 1, "RW", 2'h0); pkt_len = my_reg_field::type_id::create("pkt_len", 3, 3, "RW", 3'h0); reserved = my_reg_field::type_id::create("reserved", 26, 6, "RO", 26'h0); endfunction endclass // 通道1控制寄存器字段定义 class chnl1_ctrl_fields extends uvm_reg_fields; // 通道使能 my_reg_field chnl_en; // 优先级等级 my_reg_field prio_level; // 数据包长度 my_reg_field pkt_len; // 保留字段 my_reg_field reserved; // 构造函数 function new(string name = "chnl1_ctrl_fields"); super.new(name, `UVM_NO_COVERAGE); chnl_en = my_reg_field::type_id::create("chnl_en", 1, 0, "RW", 1'b0); prio_level = my_reg_field::type_id::create("prio_level", 2, 1, "RW", 2'h0); pkt_len = my_reg_field::type_id::create("pkt_len", 3, 3, "RW", 3'h0); reserved = my_reg_field::type_id::create("reserved", 26, 6, "RO", 26'h0); endfunction endclass // 通道2控制寄存器字段定义 class chnl2_ctrl_fields extends uvm_reg_fields; // 通道使能 my_reg_field chnl_en; // 优先级等级 my_reg_field prio_level; // 数据包长度 my_reg_field pkt_len; // 保留字段 my_reg_field reserved; // 构造函数 function new(string name = "chnl2_ctrl_fields"); super.new(name, `UVM_NO_COVERAGE); chnl_en = my_reg_field::type_id::create("chnl_en", 1, 0, "RW", 1'b0); prio_level = my_reg_field::type_id::create("prio_level", 2, 1, "RW", 2'h0); pkt_len = my_reg_field::type_id::create("pkt_len", 3, 3, "RW", 3'h0); reserved = my_reg_field::type_id::create("reserved", 26, 6, "RO", 26'h0); endfunction endclass // 通道0状态寄存器字段定义 class chnl0_stat_fields extends uvm_reg_fields; // FIFO可用空间 my_reg_field fifo_avail; // 保留字段 my_reg_field reserved; // 构造函数 function new(string name = "chnl0_stat_fields"); super.new(name, `UVM_NO_COVERAGE); fifo_avail = my_reg_field::type_id::create("fifo_avail", 8, 0, "RO", 8'h0); reserved = my_reg_field::type_id::create("reserved", 24, 8, "RO", 24'h0); endfunction endclass // 通道1状态寄存器字段定义 class chnl1_stat_fields extends uvm_reg_fields; // FIFO可用空间 my_reg_field fifo_avail; // 保留字段 my_reg_field reserved; // 构造函数 function new(string name = "chnl1_stat_fields"); super.new(name, `UVM_NO_COVERAGE); fifo_avail = my_reg_field::type_id::create("fifo_avail", 8, 0, "RO", 8'h0); reserved = my_reg_field::type_id::create("reserved", 24, 8, "RO", 24'h0); endfunction endclass // 通道2状态寄存器字段定义 class chnl2_stat_fields extends uvm_reg_fields; // FIFO可用空间 my_reg_field fifo_avail; // 保留字段 my_reg_field reserved; // 构造函数 function new(string name = "chnl2_stat_fields"); super.new(name, `UVM_NO_COVERAGE); fifo_avail = my_reg_field::type_id::create("fifo_avail", 8, 0, "RO", 8'h0); reserved = my_reg_field::type_id::create("reserved", 24, 8, "RO", 24'h0); endfunction endclass endpackage ``` 以上代码定义了一个名为my_reg_block_pkg的UVM RAL模型包,其包含了一个名为my_reg_block的寄存器块,以及各个寄存器的字段定义。你可以根据自己的需要进行修改和扩展。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值