自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(14)
  • 资源 (1)
  • 收藏
  • 关注

原创 Verilator入门(一)

Verilator可将硬件描述语言Verilog/SystemVerilog编译成优化后的支持多线程的高级语言C++/SystemC模型,通过在高级语言中例化硬件描述语言,进而使用高级语言的特性实现对Verilog/SystemVerilog的验证。简单来说,Verilator对Verilog/SystemVerilog等硬件描述语言添加了一个基于C++/SystemC的wrapper,而后借助C++和Verilator的特定库实现对Verilog/SystemVerilog的高效仿真。

2023-06-25 10:48:47 2027 2

原创 vivado中的PACKAGE_PIN与BOARD_PIN

vivado中BOARD_PIN使用说明

2022-07-27 11:04:30 4104 1

原创 Ubuntu20.04搭建Chisel开发环境

安装java8安装sbt安装IDEA搭建工程

2022-03-23 16:25:34 1297

原创 TensorFlow环境搭建总结

说明从开始决定使用TensorFlow已经好几天了,前前后后也是踩了很多坑,周日中午总算是把所有问题解决,成功调用GPU训练网络,现将遇到的问题,搭建环境的流程,相关的参考博客汇总如下,避免后人采坑。本人所采用的各个工具版本如下:显卡:RTX3090系统:ubuntu20.04CUDA:11.2cudnn:v8.1.1显卡驱动:nvidia-460通过使用anaconda3搭建环境NVIDIA-460显卡驱动安装参考:显卡驱动安装各个工具版本对应关系来源:https://

2021-12-26 17:33:27 965

原创 关于RTX3090,ubuntu20.04环境下安装TensorFlow报错问题

环境说明系统:ubuntu20.04显卡:rtx3090CUDA版本:11.0cudnn版本:v8.1.1参考博客:https://blog.csdn.net/u014412015/article/details/115553397问题说明在跟着参考博客里面的老哥跑到安装TensorFlow-gpu=2.4时,使用命令:pip install tensorflow-gpu==2.4 -i http://pypi.douban.com/simple --trusted-host pypi

2021-12-21 16:03:49 200

原创 关于centos7虚拟机右上角网络图标消失的解决办法

在命令行输入:mv /var/lib/NetworkManager /var/lib/NetworkManager.bak再重启一下虚拟机,图标就出来了。搞了好几天,解决了这个问题,感觉是centos中的网络管理器弄坏了,重命名之后自动生成的没问题。...

2021-10-03 11:52:37 1844 4

原创 vscode更新后ssh远程连接不上问题解决

文章目录1.问题描述2.解决方法1.问题描述 使用vscode进行远程开发是非常方便的方法之一,在7月份更新了1.58.1版本后,发现之前配置好的SSH远程连接一直连不上,报错示意图就不贴了,反正就是一直卡在输入密码处。2.解决方法 使用其他的工具连接远程服务器,将当前用户目录下的隐藏文件夹.vscode-server夹删除即,而后vscode在使用ssh连接时会重新安装该服务,可正常连接。...

2021-07-15 23:05:42 4489

原创 关于xilinx中ddr3仿真出现tRFC maximum violation during No Op问题记录

文章目录1.问题描述2.解决方案1.问题描述 在日常的FPGA开发中,ddr常用于对大量数据的存储,在对ddr的仿真过程中,常采用的是官方提供的ddr3_model.sv模块,简单方便。前段时间采用其进行仿真时,出现了app_rdy在进行短暂的读取数据后,一直置低,并且信息窗口报错如下 因为这个问题卡了我好多天,一直得不到解决,后面直接放下,转而去解决其他问题了,近来项目整合,不得不解决问题。于是乎到处问,最后得到一位南理工的同行指点,才算是解决了问题,特此记录在此,给后人排坑。2.解决方案 给

2021-05-31 20:27:11 1003 3

原创 Verilog无符号除法器-流水线实现

快速定位1、运算模块2、测试模块3、仿真结果4、总结 在前一篇文章中提到了除法器模块的状态机实现,状态机实现可以使用最少的资源实现除法功能,随之带来的是每次只能运算一次结果,下一次除法运算需要等上一个运算结束后进行,而流水线模式则很好的避免了这个问题,可以连续输入需要运算的数据,运算结果流水输出,缺点是需要大量的逻辑资源,也就是所谓的面积换速度,运算原理相同,无符号除法器减法实现方式,流水线实现的模块如下1、运算模块/******************************************

2021-05-27 20:20:57 1460 3

原创 Verilog无符号除法器-状态机实现

目录1、除法原理2、除法代码3、测试代码及结果4、总结 在FPGA的开发过程当中,常见的加,减,乘都可以直接用对应的符号表示,用时序逻辑实现,而除法的运算不能直接使用"/" 符号,基于当除数为2的幂次方时,可以用简单的移位符号 ">>"实现,当除数任意时,就需要使用额外的IP模块,固定的算法实现了,除法算法分为两类,分别是基于减法操作和基于乘法操作的算法。此处使用基于减法操作实现除法。1、除法原理 对于位宽为N位的无符号除法,被除数a除以除数b,他们的商和余数一定不会超过N位。除法计算的步

2021-05-22 19:58:55 2005 2

原创 Questasim/Modelsim 10.7c安装及问题解决

目录Modelsim 10.7安装及问题解决1、软件安装过程2、遇到的问题及解决Modelsim 10.7安装及问题解决1、软件安装过程2、遇到的问题及解决

2021-05-17 21:47:05 10105 18

原创 自定义移位寄存器模块

快速定位自定义移位寄存器模块Verilog代码Testbench文件仿真结果自定义移位寄存器模块前几日想着整理下之前写的FPGA图像处理的一些模块,如高斯滤波、边沿检测,形态学滤波等的模块,重新巩固下相关的知识点,为秋招做准备,其中图像处理中涉及到的一个很重要的IP就是移位寄存器,之前接触的代码都是使用的是软件自带的IP,想着还是自己写个来的实在,故在昨晚运动完后,花了几十分钟写了下代码并仿真。特记录在此。Verilog代码/*************************************

2021-05-17 10:33:15 1031 8

原创 基于msp430G2553的低频方波频率、占空比、峰峰值测量函数

使用的平台是TI公司的launch pad,频率和占空比已经实现,峰峰值还有有待改进1、主函数部分:/*********************************************\*                   _ooOoo_                   **                  o8888888o                  **     ...

2018-05-17 08:39:56 6208 6

原创 基于STC89C52的四轮小车电机控制函数

51单片机是大多数同学入门单片机的首选,在现今的高校也是十分地普及,而智能小车也是一个很有意思的东西。废话不多说,直接上代码:.C文件部分/*********************************************\* _ooOoo_ ** o8888888o ...

2018-05-17 08:25:46 1924 2

questasim 10.7c.txt

questasim仿真软件

2021-05-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除