Verilog中的3-8译码器代码

译码器是数字系统的常用功能模块,典型的行为代码如下:

module decoder3to8(out,in,enable);
input [2:0] in;
input enable;
output [7:0] out;
reg [7:0] out;
always @(in or enable)
begin
    if(enable==1'b0)
        out=8'b11111111;
    else
        out=81'b1<<in;  //左移操作
    end
endmodule

分析其原理:

当enable=0时,输出out为全1;当enable使能时,输出out根据输入in的值把最低位的1依次左移,从而完成译码器操作。

  • 0
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值