verilog——三八译码器

三八译码器

`timescale 1ns / 1ps
module three28(
    a,
    b,
    c,
    out
    );
    input a;
    input b;
    input c;
    output reg [7:0] out;//always里赋值必须是reg型
    
    
    //always描述的信号赋值,赋值对象必须是reg类型
    always@(*)
    begin
        case({a,b,c})         //{a,b,c}就是变成了一个三位信号,位拼接
           3'b000:out = 8'b00000001;
           3'b001:out = 8'b00000010;
           3'b010:out = 8'b00000100;
           3'b011:out = 8'b00001000;
           3'b100:out = 8'b00010000;
           3'b101:out = 8'b00100000;
           3'b110:out = 8'b01000000;
           3'b111:out = 8'b10000000;
         endcase
    end
endmodule

test_bench代码

`timescale 1ns/1ps
module three28_tb();
    
    reg a;
    reg b;
    reg c;
    wire [7:0]out;
    
    ///例化
    three28 three28_test(
    .a(a),
    .b(b),
    .c(c),
    .out(out)
    );       
    
    initial begin
        a=0;b=0;c=0;
        #200;
        a=0;b=0;c=1;
        #200;
        a=0;b=1;c=0;
        #200;
        a=0;b=1;c=1;
        #200;
        a=1;b=0;c=0;
        #200;
        a=1;b=0;c=1;
        #200;
        a=1;b=1;c=0;
        #200;
        a=1;b=1;c=1;
        #200;
        $stop;//结束仿真
    end

endmodule
  • 0
    点赞
  • 64
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

RonaldoM要努力

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值