Ubuntu22.10安装VCS、Verdi

一、安装Synopsys

1.1 参考链接

主要参考芯国王的教程,在自己的ubuntu中安装VCS、Verdi。最后能仿真heart

1、芯国王属于自己的数字IC EDA环境:https://blog.csdn.net/weixin_40377195/article/details/109788338

2、Synopsys安装出现的问题及解决方法:https://zhuanlan.zhihu.com/p/433125915

3、在Ubuntu18虚拟机上安装VCS:https://www.cnblogs.com/Lexington-CV2/articles/16703093.html

4、Ubuntu中VCS安装和启动过程中遇到的问题总结https://blog.csdn.net/heyuming20062007/article/details/126852329

1.2 软件安装

扩展内存
这是因为我的虚拟机内存不够了

sudo apt-get install gparted 下载gparted。
sudo gparted启动工具。

修改hostname

vim /etc/hostname
vim /etc/hosts

最好在home目录下新建synopsys文件夹
在这里插入图片描述

sudo mkdir synopsys
sudo chmod 777 synopsys

安装vcs、verdi等软件
在这里插入图片描述

sudo ./SynopsysInstaller_v5.0.run
sudo ./setup.sh		#运行一次安装一个软件,直到都安装完成

安装完成后
在这里插入图片描述

中间缺少依赖可以自行安装

1.3 license生成

在windows下运行安装包里面的程序scl_keygen.exe

hostname
ifconfig

生成的license文件,需要修改第二行。这个文件最后需要放到这个“scl/2018.06/admin/license/”目录下,要与环境里面设置的路径一致。
在这里插入图片描述
在这里插入图片描述

/home/synopsys/scl/2018.06/linux64/bin/snpslmd

1.4 环境设置

打开环境文件进行设置

sudo vim ~/.bashrc

注意文件路径,下面是我的

export DVE_HOME=/home/synopsys/vcs/O-2018.09-SP2
export VCS_HOME=/home/synopsys/vcs/O-2018.09-SP2
export VCS_MX_HOME=/home/synopsys/vcs-mx/O-2018.09-SP2
export LD_LIBRARY_PATH=/home/synopsys/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/LINUX64
export VERDI_HOME=/home/synopsys/verdi/Verdi_O-2018.09-SP2
export SCL_HOME=/home/synopsys/scl/2018.06


#dve
PATH=$PATH:$VCS_HOME/gui/dve/bin
alias dve="dve"

#VCS
PATH=$PATH:$VCS_HOME/bin
alias vcs="vcs"

#VERDI
PATH=$PATH:$VERDI_HOME/bin
alias verdi="verdi"

#scl
PATH=$PATH:$SCL_HOME/linux64/bin
export VCS_ARCH_OVERRIDE=linux

#LICENCE
export LM_LICENSE_FILE=27000@ziky		#改成自己的主机名字
alias lmg_vcs="lmgrd -c /home/synopsys/scl/2018.06/admin/license/Synopsys.d
  • 0
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
要在CentOS上安装VCS,你可以按照以下步骤进行操作: 1. 首先,确保你的系统已经安装了CentOS 7操作系统。 2. 打开终端,使用以下命令安装必要的依赖库: ``` sudo yum install redhat-lsb.i686 sudo yum install libpng12 -y ``` 3. 接下来,你可以根据你提供的引用和引用中的教程来安装VCS。这些教程提供了详细的步骤和资源,你可以根据需要进行参考和操作。 注意:在安装过程中,确保你遵循教程上提供的步骤,并检查你的系统是否满足所需的配置和要求。 参考资料: - 引用: CentOS7安装VCS、Verdi、SCL_thompsonm的博客-CSDN博客 - 引用: 最近在centos上安装vcs真的是付出了很多时间,终于找到了适合的教程,自己也安装成功,现在把方法拿出来与大家分享。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [在linux的centos平台下安装vcs教程](https://blog.csdn.net/youzjuer/article/details/121577601)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *3* [UVM学习之路(1)— CentOS 7虚拟机下安装VCS开发环境](https://blog.csdn.net/qq_38113006/article/details/120803926)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值