基于verilog的交通灯

状态说明:

1, 初始化 东西南北的灯全亮;

2, 东西绿灯亮,南北红灯亮  20秒;

3, 东西黄灯亮,南北红灯亮 5秒;

4, 东西红灯亮,南北绿灯亮 20秒;

5, 东西红灯亮,南北黄灯亮 5秒;

6, 循环 2,3,4,5,

代码如下:

`timescale 1ns/1ps

// Company  :
// Author  : gong
// Create Date : 2012.8.24
// Design Name :
// Module Name : traffic
// Project Name : traffic
// Target Device: CycloneII EP2C8Q208C8
// Tool versions: QuartusII 9.0
// Description :     
// Revision  : V1.0
// Additional Comments : 

//红黄绿
//1亮0灭
module  traffic (
                 clk,
                 rst_n,
                 dongxi,
                 nanbei
               
                );
input           clk;
input           rst_n;
output   [2:

  • 14
    点赞
  • 116
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值