UVM中factory机制的使用

UVM中的factory机制一般用在sequence的重载,尤其是virtual sequence。当Test_case变化时,通过virtual sequence的重载,可以很容易构建新的测试。

        因为virtual可以控制选择sequence,sequence的启动,执行顺序等。

        在build_phase阶段,不需要改变default_sequence,不需要改变别处代码。

 

Transaction的重载,可以在不改变sequence,default_sequence的情况下,改变Transaction中数据的constraint。可以与sequence的重载一起使用,即可

         以完成constraint的改变,又可以完成sequence的类型,执行顺序等的改变。

 

component级别的重载没有遇到过,应该在DUT及其复杂的情况下,才会使用吧。

转载于:https://www.cnblogs.com/-9-8/p/4465372.html

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值