自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(67)
  • 资源 (1)
  • 收藏
  • 关注

原创 tcl系列之列表操作

tcl 列表操作

2023-07-22 15:46:53 1840

原创 GVIM之正则表达式

gvim正则表达

2022-11-17 15:59:25 2731 1

转载 7nm工艺中的后端设计挑战

7nm工艺中的后端设计挑战

2022-11-12 00:46:21 1740

原创 TCL基础知识

TCL基础知识

2022-11-12 00:08:48 2226

原创 添加wellcap和endcap作用

endcap wellcap

2022-07-13 12:47:26 2774 2

原创 vim正则符号

列一些常见的,其他的遇到再说吧\d 匹配阿拉伯数字,等同于[0-9]。\D 匹配阿拉伯数字之外的任意字符,等同于[^0-9]。\x 匹配十六进制数字,等同于[0-9A-Fa-f]。\X 匹配十六进制数字,等同于[^0-9A-Fa-f]。\w 匹配单词字母,等同于[0-9A-Za-z_]。\W 匹配单词字母之外的任意字符,等同于[^0-9A-Za-z_]。\t 匹配<TAB>字符。\s 匹配空白字符,等同于[ \t]。\S 匹配非空白字符,等同于[^ \t]。\a 所有的字母字

2021-09-10 16:27:45 521

原创 vim替换字符(包括行首行尾添加字符串)

基本应用:将特定字符换掉特定字符后加上符号(比如/ \ _ #)全文替换在全部内容的行首添加//号注释:% s/^////g:进入命令行%s 全文^ 行首/ 匹配g到匹配的末尾个别行替换在1~50行首添加//号注释:1,50 s/^////g在1~50行首删除//号:1,50 s/^////g...

2021-09-10 16:06:31 2404

原创 vim大小写转换

今天介绍一下大小写转换(单行/多行/全文/指定单词…)可能后端,会用到lef文件名字,前端给到的是大写,lef lib名字需要小写vim中大小写转化的命令是gu或者gUgu:转为小写gU:转为大写.剩下的就是对这两个命令的限定(限定操作的行,字母,单词)等等1、整篇文章大写转化为小写打开文件后,无须进入命令行模式。键入:ggguGggguG分作三段gg gu Ggg=光标到文件第一个字符gu=把选定范围全部小写G=到文件结束2、整篇文章小写转化为大写打开文件后,无须进入命令行模

2021-09-10 15:55:21 2180

原创 vim列操作/块操作

今天用到vim的列操作,日常使用情况:块复制,指定列前/后插入字符VIM的列编辑操作删除列1.光标定位到要操作的地方。2.CTRL+v 进入“可视 块”模式,选取这一列操作多少行。3.d 删除。插入列插入操作的话知识稍有区别。例如我们在每一行前都插入”() “:1.光标定位到要操作的地方。2.CTRL+v 进入“可视 块”模式,选取这一列操作多少行。3.SHIFT+i(I) 输入要插入的内容。4.ESC 按两次,会在每行的选定的区域出现插入的内容。...

2021-09-10 15:47:56 4957

转载 二极管、三极管、MOSFET管知识点总结(后端基础第二篇)

二极管、三极管、MOSFET管知识点总结 二极管三极管MOS管 晶体管(transistor)是一种固体半导体器件,包括二极管、三极管、场效应管、晶闸管。。 二极管 一般特性:当阳极和阴极之间加上>0.7V的电压时,就会导通,导通后的二极管相当于一个0.7V的...

2021-09-02 15:20:08 3365

原创 CMOS芯片制造全工艺流程(后端基础第一篇)

芯片制造全工艺流程详情 我们每天运行程序的芯片是这样造出来的,放大后的芯片机构,无与伦比的美,在如此微观世界,人类科技之巅。 芯片一般是指集成电路的载体,也是集成电路经过设计、制造、封装、测试后的结果,通常是一个可以立即使用的独立的整体。如果把中央处理器CPU比喻为整个电脑系统的心脏,那么主板上的芯片组就是整个身体的躯干。对于主板而言,芯片组几乎决定了这块主板的...

2021-09-02 15:05:38 11365

转载 数字后端基本概念介绍——Placement Blockage

今天要介绍的数字后端基本概念是Placement Blockage. Placement blockage是大家在floorplan时经常用的一种人为约束。可以有效控制区域的density。从而避免congestion的问题,提高routing的效率。 Placement blockage的类型很多,一共分为9种,分别对应hard, hard_macro, soft, partial,&n...

2021-09-02 14:42:08 2430

转载 congestion基本概念

今天我们要介绍的数字后端基本概念是congestion,中文名是拥塞。这是一个反应设计绕线资源一个重要指标参数。通常在还没有final routing的阶段使用,拥塞程度越高,一般意味着后期绕线越容易出现metal short,spacing violation等drc. 使用方法: 那如何来查看congestion呢?我们可以通过图形界面上的congestion map来查看。 打开图形界...

2021-09-02 10:46:20 4099

转载 congestion map解读

最近有一些同学问congestion map怎么看。这里详细介绍一下。 congestion map可以非常直观的看到,绕线有问题的区域。 另外congestion map对于及早发现floorplan的问题有非常重要的意义,有经验的工程师都是在place阶段发现floorplan存在的问题。可以说90%的问题,是在place阶段解决的。到绕线阶段,在解决剩下的10%的问题。为什么还有10%的绕...

2021-09-02 10:36:21 1763

转载 congestion report解读

物理综合的一大目标是优化congestion, 衡量congestion 的指标有: Overflow  Hotspots   Overflow 跟Hotspots 是如何计算的,是用什么来表征的?Congestion 分析基于一个基本『单元』称为GCELL: Routing Grid cell. Gcell 是工具自己定义的一个单位格子,通常是一个ROW 的高度的小正方形,...

2021-09-02 10:33:22 2812

转载 PR问答(第三篇)

Floorplan:要做好floorplan需要掌握哪些知识跟技能?通常,遇到floorplan问题,大致的debug步骤跟方法有哪些?如何衡量floorplan的QA?Floorplan是后端实现的起始步骤,是P&R的先决条件,通常Trial Run的目的也是为了把FP固定。因此,在做FP的时需要从以下几个方面准备,第一方面收集Physical“规则”,这其中包括Design Rule,Package Rule,IP Guide,IO Guides等等,只有优先知道了限制条件,在限制条件内

2021-09-01 17:18:24 1132

转载 PR问答(第二篇)

Floorplan:要做好floorplan需要掌握哪些知识跟技能?通常,遇到floorplan问题,大致的debug步骤跟方法有哪些?如何衡量floorplan的QA?Floorplan是后端实现的根本,对后续流程的影响最大,因此必须综合考量。SoC顶层的Floorplan涉及面广而杂,以此做说明较有通用性。至于模块级或IP级,可以在SoC级的基础上删减一些。以下罗列各方面的因素:芯片的形状和尺寸。评价芯片三大指标PPA里的A(Area)最终体现在了这里。在工艺参数一定的条件下,A越小成本越低

2021-09-01 17:16:06 2084

转载 PR问答(第一篇)

FLOORPLAN:做好floorplan要掌握哪些知识技能遇到floorplan问题,大致的debug步骤和方法有哪些如何衡量floorplan的QAPlacement:Cts:Route:Drc:后端实现工作是一个不可分割的整体,最终能收获什么样的果实,在你种下种子的那一刹那就已经注定了;至于中间的浇水施肥打药,那都是按部就班的工作了。整个后端过程就是一个混沌过程,floorplan是输入,gds和netlist是输出,中间是一团笼罩着混沌过程的迷雾。但是作为一个后端熟手、老司机,理应做

2021-09-01 17:12:55 2391

转载 PR问答(开篇)

Floorplan:要做好floorplan需要掌握哪些知识跟技能?通常,遇到floorplan问题,大致的debug步骤跟方法有哪些?如何衡量floorplan的QA?Placement:要做好placement需要掌握哪些知识跟技能?通常,遇到placement问题,大致的debug步骤跟方法有哪些?如何衡量placement的QA?CTS:要做好CTS需要掌握哪些知识跟技能?通常,遇到CTS问题,大致的debug步骤跟方法有哪些?如何衡量CTS的QA?Route:要做好Rou

2021-09-01 17:08:40 660

转载 SDC读入与检查

继续综合这一趴,顺着流程往下,今天码SDC 的读入与检查,前序回顾《综合 | 概述及 library ...

2021-09-01 16:53:52 4123

转载 DEF解析

DEF 全称Design Exchange Format, 用于电路物理信息交互,是将数字实现前后端连...

2021-09-01 16:45:16 14618 2

转载 数字后端基础技能之:CTS(下篇)

不知道为什么用原来系列的标题突然提示字数超出,可能最近知乎更新了标题字数限制。所以我把原来的Clock Tree Synthesis这几个单词去掉了。请谅解~ 拖了很久才写了CTS系列的最后一篇,很是抱歉。前段时间项目问题多,想抽出点时间确实比较困难。有时间我也会把出现的问题分...

2021-08-31 23:57:20 1839

转载 数字后端基础技能之:CTS(中篇)

在开始之前有两件事想征求一下大家的意见: 最近有同学反映文章中很多专业词汇不太明白,因此想开一个系列专门讲一些后端的基础知识和词汇,毕竟后端的知识颇为繁杂,对入门者极为不利。因此如果大家有不懂的知识尽管留言,我会以适当的形式统一讲解。 如果有人对提高效率的脚本、命令等感兴趣,我也想...

2021-08-31 23:56:17 2894

转载 数字后端基础技能之:CTS(上篇)

今天想和大家聊聊时钟树综合:Clock Tree Synthesis (CTS)。 构思了很久应该怎样介绍CTS,最终决定分为几篇文章来一步一步介绍整个流程。尽管如此,由于在大型项目中CTS是一个比较复杂的工作,因此在本专栏的文章之外可能还有很多东西需要后端设计者去关心。但是我仍...

2021-08-31 23:55:01 5436 1

转载 半导体工艺流程

本文首发:公众号 “半导体产业园” 转载链接:https://www.sohu.com/a/257666855_100269991?spm=smpc.content.share.1.16073320927520q75qyB#comment_area 首先要知道foundry从供应商...

2021-08-31 23:50:03 7873

转载 CRPR/CPPR

转载:CPPR CRPR clock reconvergence pessimism removal CPPR clock path pessimism removal 剔除公共clock path上的悲观度 看图说话,上图是最常见最基本的一条timing path: 有l...

2021-08-31 23:48:16 4068 2

转载 Density 计算公式

Density 又称Utilization, 计算公式如下 leaf cell 面积跟可用总面积的计算,受多种因素影响: 通常leaf cell 包括std cell 跟hard macro;在计算Density 的时候,如果hard macro 的placement stat...

2021-08-31 23:46:29 4322 2

转载 Congestion解决办法

转载出处:https://www.cnblogs.com/lelin/p/12613030.html 文章目录 Routing congestionChannel Congestion:PG(Power Ground)Congestion:High Cell Density ...

2021-08-31 21:48:06 4720 1

转载 数字芯片设计实现中修复setup违例的方法汇总

数字芯片设计实现中修复setup违例的方法汇总 setup 的分析与优化贯穿数字芯片设计的整个过程,也是每位数字 IC 设计工程师必须掌握的基本技能之一。最好在开始后端实现之前就获得一个没有 Setup 违反的网表(Gate level Netlist),小编今天将从前端设计到后端...

2021-08-30 17:00:11 4452

转载 时序分析基本概念介绍——STA概述

在芯片设计中,我们常用PPA(Power, Performance, Area)来衡量一块芯片的指标。Performace直接取决于Timing参数。由此可见,时序设计在后端设计中占有举足轻重的地位。那今天我们就来介绍下,时序分析中的最重要概念——STA。 我们现在生活中其实存在着不少时序问题,比如以下两个例子: •我打电话给张三,李四却接了电话  这就代表着数据传输过程中出现...

2021-08-30 16:17:41 4026

转载 等不到那人,回不到人间——dbGet(四)

通过前面三讲,相信大家已经熟悉dbGet的用法了吧。那下面给大家介绍几个具体的例子,希望通过这些例子,能进一步加深大家对dbGet的印象。亲们,可以打开一个hierarchical的design,边看边练~~ 1 ...

2021-08-30 16:02:17 1943

转载 不敢去争取,学不会珍惜,却难以忘记——dbGet(三)

我们继续上次,介绍dbGet剩余的object内容 inst flat design下的instance Parent Object group, hInst, instTerm, io, pBlkg, ptn, rBlkg, ...

2021-08-30 15:59:08 2428

转载 喜欢就争取,得到就珍惜,错过就忘记—dbGet(二)

通过前面一篇对dbGet基本用法的介绍,大家应该对它有一定了解了吧。那接来下,我们就要学习一下进阶的dbGet用法了。 dbGet是由它基本的语法加上各种object的attribute的组合构成的。大家在熟悉基本语法之后,就应该去学习各个object的attribute了。说实话,这很难,因为attribute很多,而且有的attribute写法相当奇怪,怎么看都看不懂,比如hInstTerm...

2021-08-30 15:53:38 3270

转载 斯人若彩虹,遇上方知有——dbGet(一)

最近工作太忙,很久没写一篇长文了,应各位强烈要求,就来介绍一下dbGet这个功能吧。由于dbGet功能太强大,估计要写好几篇才能介绍清楚,这次先对基本功能做一个简单介绍。 首先,大家应该知道,要真正学好Innovus/Encounter的话,dbGet是必须要掌握的技能。这玩意不仅快,而且炫。设想这样一个场景:当你使用dbGet,连着嵌套好几层,帮某位小白姑娘找到她想要的一个instance名字时,...

2021-08-30 15:51:36 6343 1

转载 数字后端基本概念介绍——FinFET Grid

今天要介绍的数字后端基本概念是FinFET Grid,它也是一种设计格点。介绍该格点前,我们首先来了解一下什么是FinFET技术。 FinFET称为鳍式场效晶体管(Fin Field-Effect Transistor;FinFET)是一种新的互补式金氧半导体(CMOS)晶体管。Fin是鱼鳍的意思,FinFET命名根据晶体管的形状与鱼鳍的相似性。闸长已可小于25纳米,未来预期可以进一步缩小至9纳米,...

2021-08-30 15:42:37 1808

转载 数字后端基本概念介绍<site>

今天我们要介绍的数字后端基本概念是site。site代表最基本的布局单元。site一般情况是最小standard cell的大小。类似cell的最小size。定义在 lef中, 如下图所示: SITE tsm3site               &n...

2021-08-30 15:35:18 4333

转载 数字后端基本概念介绍——Track

今天要给大家介绍的数字后端基本概念是Track。Track是指走线轨道,和row一样,可以约束走线器的走线方向。信号线通常必须走在track上。Std Cell的高度通常用metal2 track pitch来表示,常用的 std cell 库有 7T /9T /12T,就是以 track 来区分的, 9T 就是说 std cell 的高度范围内可以走九条线,所以一般来讲, 7T cell 的 siz...

2021-08-29 12:43:29 10553 3

转载 数字后端基本概念介绍——Row

今天要介绍的数字后端基本概念是Row。我们知道row是表征Floorplan横向排列的一个重要网格,可以将它解释为“排”,它对std cell的摆放起着限制约束作用。Row是placement的基础,因为需要摆放std cell的地方,就必须要首先创建row。下面我们来介绍下Row的各个内容和属性。 Row是由SITE组成的。SITE定义的是最小的布局单位。如下图所示 我们可以在Lef...

2021-08-29 12:40:17 6312 2

转载 数字后端基本概念介绍<Endcap Cell>

今天要介绍的数字后端基本概念是boundary cell,也被称为endcap Cell。Endcap是一种特殊的标准单元。在后端物理设计中,除了与,非,或等一些常见的标准单元外,还有一些特殊的物理单元(physical cell),它们通常没有逻辑电路,不存在与netlist当中,但是对整个芯片的运行,稳定却起着举足轻重的作用。那endcap cell就是其中一种,它俗称为拐角单元,作用是确保每个nwell都是nwell enclosed,类似一个封闭环。主要加在row的结尾(两边都要加) , 以及mem

2021-08-29 12:31:04 7606

转载 数字后端基本概念介绍<Tap Cell>

今天要介绍的数字后端基本概念是Tap cell, 也被称为welltap cell。这也是一种特殊的物理单元。 welltap是只包含well contact的cell,将衬底接到电源和地网络,避免衬底悬浮。主要防止CMOS器件的寄生闩锁效应(latch-up) 一般tap cell的作用范围是30~40um, 即每隔60um左右放置一个tap cell,具体的数据要参考工艺商给的document...

2021-08-29 12:16:10 2302

pt_user_guide.pdf

时序分析工具pt的用户手册

2021-09-01

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除