分配引脚

步骤1:使用记事本或类似软件新建一个txt文件(或csv文件),按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的艾米电子2C8开发版为范例)。

注意:To和Location两个关键字中间有一个半角逗号。

图1 pin.txt

图1 pin.txt

步骤2:在QII软件中,选择“Assignments —— Import Assignments”。如图所示,导入xxx.txt文件即可。

图2 导入pin.txt

图2 导入pin.txt

步骤3:在QII软件中,选择“Assignments —— Pin”标签(或者点击image按钮) ,打开Pin Planner,验证管脚是否分配正确。

图3 验证管脚是否分配正确

图3 验证管脚是否分配正确

方法二:source xxx.tcl

步骤1:在QII软件中,使用“Assignments —— Remove Assignments”标签,移除管脚分配内容,以确保此次操作,分配的管脚没有因为覆盖而出现错误的情况。

图4 Remove Assignments

图4 Remove Assignments

注:在未执行任何管脚分配操作新工程中,可跳过步骤1。

步骤2:使用记事本或类似软件新建一个tcl文件,按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的艾米电子2C8开发版为范例)。

注意关键字set_location_assignment和-to的用法。

图5 pin.tcl

图5 pin.tcl

步骤3:执行pin.tcl

方法1:在QII软件中,使用“View —— Utility Windows —— Tcl Console”标签,打开Quartus II Tcl Console。执行语句:

图6 source pin.tcl

图6 source pin.tcl

方法2:在QII软件中,使用“Tools —— Tcl Scripts …”标签,打开Tcl Scripts。

图7 Tcl Scripts

图7 Tcl Scripts

选择pin.tcl,选择“Run”标签,执行Tcl文件。

步骤4:同方法1的步骤3。

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值