UVM学习笔记(一)工厂、phase机制、config

一、工厂机制

1.1 使用步骤

①定义
②注册
③创建
class comp1 extends uvm_component;//父类为component
	`uvm_component_utils(comp1)//1.把该类注册到工厂中
	function new(string name = "comp1", uvm_component parent = null);//name和parent
		super.new(name, parent);//继承父类的new
		$display($sformatf("%s is created", name);
	endfunction: new
	function void build_phase(uvm_phase phase);
		super.build_phase(phase);
	endfunction:build_phase
endclass
class obj1 extends uvm_object;//父类为object
	`uvm_object_utils(obj1);//1.把该类注册到工厂中
	function new(string name = "obj1");//name
		super.new(name);
		$display($sformatf("%s is created", name);
	endfunction:new
endclass
comp1 c1, c2;
obj1 o1,o2;
initial begin
	c1 = new("c1");
	o1 = new("o1");
	c2 = comp1::type_id::create("c2",null);//创建
	o2 = obj1::type_id::create("o2");
end

1.2 um_coreservice_t类

●唯一的uvm_factory, 该组件用来注册、覆盖和例化
●全局的report_server, 该组件用来做消息统筹和报告
●全局的tr_database, 该组件用来记录transaction记录
●get_root()方法用来返回当前UVM环境的结构顶层对象
通过uvm_coreservice_t将最重要的机制(也是必须做统一例化处理的组件)都放置在了uvm_coreserice_t类中。

1.3 factory创建component、object实例的方法

在这里插入图片描述

trans t3;
uvm_factory f = uvm_factory::get();
//create_object_by_type    (uvm_object_wrapper requested_type,  
//                                      string parent_inst_path="",
//                                      string name="");
void'($cast(t3,f.create_object_by_type(trans::get_type(),get_full_name(),"t3")));

1.4 UVM创建component、object实例的方法

在这里插入图片描述

//create_object (string requested_type_name,
//               string name="");
void'($cast(t4,create_object("trans","t4")));

1.5 T::type_id::create()

//trans::type_id::create(string name, uvm_component parent = null); 

trans::type_id::create("t",this);

二、覆盖方法

覆盖发生时,可以使用“类型覆盖”或者“实例覆盖”
●类型覆盖指,UVM层次结构下的所有原有类型都被覆盖类型所替换。
●实例覆盖指,在某些位置中的原有类型会被覆盖类型所替换。

2.1 set_type_override(): 类型覆盖

comp1::type_id::set_type_override(comp2::get_type());
// function void set_type_override_by_type
//                              (uvm_object_wrapper original_type, 
//                               uvm_object_wrapper override_type,
//                               bit replace=1)
      set_type_override_by_type(trans::get_type(),bad_trans::get_type(),1);

// replace unit type with big type with method
// function void set_type_override(string original_type_name, 
//                                 string override_type_name,
//                                 bit    replace=1);
set_type_override("uint","big_uint");

在这里插入图片描述

2.2 set_inst_override(): 实例覆盖

comp1::type_id::set_inst_override(comp2::get_type(),{parent.get_full_name(),'.',inst_path});

在这里插入图片描述

关键点:com2继承com1,要覆盖的方法,父类需要加virtual
先覆盖,在例化
层次越高,覆盖的优先级越高

module factory_override;
	import uvm_pkg::*;//要使用UVM,必须要import
	`include "uvm_macros.svh"
	class comp1 extends uvm_component;//1.定义
		`uvm_component_utils(comp1)//2.注册
		function new(string name="comp1",uvm_component parent = null);
			super.new(name);
			$display($sformatf("comp1:: %s is created",name));
		endfunction:new
		virtual function void hello(string name);
			$display($sformatf("comp1:: %s said hello",name));
		endfunction
	endclass
	
	class comp2 extends comp1;//1.定义
		`uvm_component_utils(comp2)//2.注册
		function new(string name="comp2",uvm_component parent = null);
			super.new(name);
			$display($sformatf("comp2:: %s is created",name));
		endfunction:new
		virtual function void hello(string name);
			$display($sformatf("comp2:: %s said hello",name));
		endfunction
	endclass
	
	comp1 c1,c2;
	initial begin
		comp1::type_id::set_type_override(comp2::get_type());//comp2覆盖了comp1
		c1 = new("c1");
		c2 = comp1::type_id::create("c2",null);//3.创建:类型覆盖
		c1.hello("c1");//调用c1的函数
		c2.hello("c2");//调用c2的函数
endmodule

三、核心基类 uvm_object

3.1 field_automation机制

  • UVM通过域的自动化,使得用户在注册UVM类的同时也可以声明今后会参与到对象拷贝、克隆、打印等操作的成员变量。
  • 用户需要考虑哪些成员变量在注册UVM类( “uvm_{component, object}_utils) 的时候,也一并将它们归置到对应的域列表中,以便为稍后的域方法提供可以自动实现的基础。

3.1.1 注册数据类型相关的宏

`uvm_field_int(a, UVM_ALL_ON)
`uvm_field_real(a, UVM_HIGH)
`uvm_field_enum(T, a, UVM_LOW)
`uvm_field_object(a, UVM_ALL_ON)
`uvm_field_event(a, UVM_ALL_ON)
`uvm_field_string(a, UVM_ALL_ON)
`uvm_field_array_int(a, UVM_ALL_ON)
`uvm_field_array_string(a, UVM_ALL_ON)
`uvm_field_array_object(a, UVM_ALL_ON)
`uvm_field_array_enum(a, UVM_ALL_ON)
....P70

3.1.2 提供函数

  • void copy(uvm_object rhs); //B.copy(A); A实例复制到B实例中
  • uvm_object clone();//$cast(B, A.clone());无法用于component类
  • bit compare(uvm_object rhs, uvm_comparer comparer = null);//A.compare(B);
  • A.print();
  • pack/unpack; pack_bytes/unpack_bytes; pack_int/unpack_int//打包成数据流和恢复到某个类的实例中
3.1.2.1 copy
class box extends uvm_object;
	int volume = 120 ;
	color_t color = WHITE ; 
	string name = "box" ;
	//域自动化
	`uvm_object_utils_begin(box)
		`uvm_field_int (volume, UVM_ALL_ON)//数据操作
		`uvm_field_enum(color_t,color, UVM_ALL_ON)
		`uvm_field_string(name, UVM_ALL_ON)
	uvm_object_utils_end
endclass
box b1, b2 ;
initial begin
	b1 = box::type_id::create("box1");
	b1.volume = 80;
	b1.color  = BLACK; .
	b2 = new();
	b2.copy(b1);//UVM自动实现
	b2.name = "box2";
end
3.1.2.2 copy和clone

copy: 创建好了对象,只copy数据
clone:先创建对象,再copy,并返回句柄

class ball extends uvm_ object ;
	int diameter = 10;
	color_t color = RED;
	`uvm_object_utils_begin(ball)
		`uvm_field_int(diameter, UVM_DEFAULT)
		`uvm_field_enum(color_t, color, UVM_NOCOPY)//不copy
	uvm_object_utils_end
	function void do_copy(uvm_object_rhs);//copy的回调函数
		ball b;
		$cast(b, rhs) ;
		$display ("ba11::do_copy entered. .") ;
		if(b.diameter <= 20) diameter = 20;
	endfunction
endclass

class box extends uvm_object;
	int volume = 120 ;
	color_t color = WHITE ;
	string name = "box" ;
	ball b;
	`uvm_object_utils_begin (box)
		`uvm_field_int(volume, UVM_ALL_ON)
		`uvm_field_enum(color_t, color, UVM_ALL_ON)
		`uvm_field_string(name, UVM_ALL_ON)
		`uvm_field_object(b, UVM_ALL_ON)
	uvm_object_utils_end
endclass

box b1,b2 ;
initial begin
	b1 = new ("box1") ;
	b1.volume = 80 ;
	b1.color = BLACK;
	b1.b.color = WHITE ;
	b2 = new() ;
	b2.copy(b1) ;
	b2.name = "box2";
	$display ("%s", b1.sprint()) ;
	$display("%s", b2.sprint()) ;
end
3.1.2.3 compare
class box extends uvm_object;
	int volume = 120;
	color_t color = WHITE;
	string name = "box" ;
	`uvm_object_utils_begin(box)
	...
	uvm_object_utils_end
endclass

box b1, b2 ;
initial begin
	b1 = new("box1") ;
	b1.volume = 80;
	b1.color = BLACK;
	b2 = new ("box2") ;
	b2.volume = 90;
	uvm_default_comparer.show_max = 10;//比较10个
	if (!b2.compare(b1)) begin
		`uvm_info("COMPARE","b2 comapred with b1 failure", UVM_LOW)
	end
	else begin
		`uvm_info("COMPARE","b2 comapred with b1 succes", UVM_LOW)
	end
end
//comparer的回调函数
function bit do_compare(uvm_object rhs, uvm_comparer comparer);
      trans t;
      do_compare = 1;
      void'($cast(t, rhs));
      if(addr != t.addr) begin
        do_compare = 0;
        `uvm_warning("CMPERR", $sformatf("addr %8x != %8x", addr, t.addr))
      end
      if(data != t.data) begin
        do_compare = 0;
        `uvm_warning("CMPERR", $sformatf("data %8x != %8x", data, t.data))
      end
      if(op != t.op) begin
        do_compare = 0;
        `uvm_warning("CMPERR", $sformatf("op %s != %8x", op, t.op))
      end
      if(addr != t.addr) begin
        do_compare = 0;
        `uvm_warning("CMPERR", $sformatf("name %8x != %8x", name, t.name))
      end
    endfunction
3.1.2.4 print
class box extends uvm_ object ;
	int volume = 120 ;
	color_t color = WHITE; 
	string name = "box" ;
	`uvm_object_utils_begin(box)
		...
endclass

box b1 ;
uvm_table_printer local_printer;//声明printer的句柄
initial begin
	b1 = new("box1") ;
	local_printer = new();//例化
	$display ("default table printer format") ;
	b1.print() ;//默认的table形式
	$display ("default line printer format") ;
	uvm_default_printer = uvm_default_line_printer ;//line形式,这些已经被例化了,不需要再例化了
	b1.print() ;
	$display ("default tree printer format") ;
	uvm_default_printer = uvm_default_tree_printer ;//tree形式
	b1.print() ;
	$display ("customized printer format") ;
	local_printer.knobs.full_name = 1 ;
	b1.print(local_printer) ;//传入自己设定的打印规则
end

四、 phase机制

在这里插入图片描述

4.1 执行机制:自顶向下

在这里插入图片描述

4.2 run_phase

  • 上电
  • 复位
  • 寄存器配置
  • 发送主要测试内容
  • 等待DUT完成测试
    在这里插入图片描述

4.3 UVM编译和运行机制

在这里插入图片描述

4.4 运行UVM

+UVM_TESTNAME = <>来选择test

task run_test(string test_name = "");
	uvm_root top;//唯一顶层
	uvm_coreservice_t cs;
	cs = uvm_coreservice_t::get();//获取coreservice全局唯一的实例
	top = cs.get_root();//利用coreservice获取全局顶层
	top.run_test(test_name);//运行
endtask

在这里插入图片描述
在这里插入图片描述

4.5 控制仿真退出 uvm_objection

仿真过程中,所有component和sequence都可以挂起objection,且至少要有一个组件将objection挂起,防止仿真退出
在这里插入图片描述

class test1 extends uvm_test ;
	task run_phase(uvm_phase phase) ;
		phase.raise_objection(this) ;//挂起当前组件,输入参数为this,run_phase以objection为主
		uvm_info("run phase", "entered . .",UVM_LOW)
		#1us;
		uvm_info("run_ phase", "exited . .", UVM_LOW)
		phase.drop_objection(this);//落下当前组件
	endtask
endclass

五、config机制

config机制用于参数传递
传递父类的句柄;转到子类void’($cast(dst,src))
传递参数类型保持一致
传递路径保持一致

5.1 uvm_config_db

- 传递interface到环境中
- 设置单一变量值,如int,string,enum
- 传递配置对象(config object)到环境
//顶层环境set,底层环境get
uvm_config_db#(T)::set(uvm_component cntxt, string inst_name, string feild_name,T value);//实例,实例的名字,实例对应的某个变量,值
uvm_config_db#(T)::get(uvm_component cntxt, string inst_name, string feild_name, inout T value);//set,get在组件里面使用

5.2 interface传递

interface intf1;
	logic enable = 0;
endinterface
class comp1 extends uvm_component;
	`uvm_component_utils(comp1)
	virtual intf1 vif;//句柄
	...//new
	function void build_phase(uvm_phase phase);
		if(!uvm_config_db#(virtual intf1)::get(this,"","vif",vif))begin
		//#(virtual intf1):要传递的参数类型
		//this: 当前的组件,comp1
		//"":component里面的实例,这里面没有实例
		//"vif":变量名
		//前三个变量共同得到路径uvm_root.test.c1.vif,从中拿到virtual intf1传递给vif
			`uvm_error("GETVIF","no virtual interface is assigned")
		end
		`uvm_info("SETVAL",$sformatf("vif.enable is %b before set", vif.enable), UVM_LOW);
		vif.enable = 1;
		`uvm_info("SETVAL",$sformatf("vif.enable is %b after set", vif.enable), UVM_LOW);
	endfunction
endclass
//顶层
class test1 extends uvm_test;
	`uvm_component_utils(test1)
	comp1 c1;
	...
endclass
intf1 intf();//
initial begin
	uvm_config_db#(virtual intf1)::set(uvm_root::get(), "uvm_test_top.c1", "vif",intf);//run_test之前,build_phase之前
	//#(virtual intf1):要传递的参数类型
	//uvm_root::get(): 传递一个uvm_root的句柄
	//"uvm_test_top.c1":实例,uvm_test_top下的c1
	//"vif":变量名
	//intf:将这个指针存放在路径中
	//前三个变量共同得到路径uvm_root.uvm_test_top.c1.vif,从中拿到virtual intf1传递给vif
	run_test("test1") ;//root-test-c1
end

5.3 变量传递

在这里插入图片描述
在这里插入图片描述

5.4 object传递

要配置的参数多,且分属不同的组件,将每个组件的变量整合,放置到uvm_object中,再讲该对象进行传递

//将要传递的变量放到父类为object的类中
class config1 extends uvm_object ;
	int val1 = 1;
	int str1 = "null" ;
	`uvm_object_utils(config1)
endclass
//底层
class comp1 extends uvm_component;
	`uvm_component_utils(comp1)
	config1 cfg;
	function void build_phase (uvm_phase phase) ;
		uvm_object tmp ;
		uvm_config_db# (uvm_object)::get (this,"" ,"cfg", tmp) ;
		void' ($cast(cfg, tmp));//父类句柄,转换为子类句柄调用值,也可以直接传递子类
		`uvm_info ("SETVAL",$sformatf("cfg.va11 is %d after get", cfg.val1), UVM_LOW)
		`uvm_info ("SETVAL",$sformatf("cfg.str1 is %s after get", cfg.str1), UVM_LOW)
	endfunction
endclass
//顶层
class_test1 extends uvm_test;
	uvm_component_utils(test1)
	comp1 c1, c2 ;
	config1 cfg1, cfg2;
	function void build_phase(uvm phase phase) ;
		cfg1 = config1::type_id::create("cfg1") ;//要传递的变量的类的实例
		cfg2 = config1::type_id::create("cfg2") ;
		cfg1.val1 = 30;
		cfg1.str1= "c1" ;
		cfg2.va11 = 50 ;
		cfg2.str1= "c2" ;		
		//父类句柄
		uvm_config_db#(uvm_object)::set(this, "c1", "cfg", cfg1) ;
		uvm_config_db#(uvm_object)::set(this, "c2", "cfg", cfg2) ;
		//获取当前句柄,当前句柄下要传递进入底层的类的实例,要传入的具体的类,具体的值
		c1 = comp1::type_id::create("c1", this) ;
		c2 = comp1::type_id::create("c2", this) ;
	endfunction
endclass

5.5 总结

	1. 先set()在get(),成对出现
	2. 传输类型要保持一致
	3. 路径,*表示通配,任意路径

六、消息管理

6.1 消息方法

在这里插入图片描述

在这里插入图片描述

6.2 消息处理

在这里插入图片描述

6.3 消息宏

在这里插入图片描述

6.4 消息机制

在这里插入图片描述

6.5 回调函数

在这里插入图片描述

在这里插入图片描述

set_report_severity_action(UVM_ERROR,UVM_DISPLAY | UVM_CALL_HOOK);//对于ERROR这个级别,不仅要打印还要调用其回调函数
set_report_verbosity_level(UVM_LOW);//只打印UVM_LOW及更重要的UVM_NONE

set_report_verbosity_level_hier(UVM_NONE);//禁用this下所有UVM消息
set_report_id_verbosity_hier("BUILD", UVM_NONE);//禁用this下的“CREATE”,“BUILD”,“RUN”ID消息
uvm_root::get().set_report_id_verbosity_hier("CREATE", UVM_NONE);//禁用this下的“CREATE”,“BUILD”,“RUN”ID消息
### 回答1: 在UVM,可以使用uvm_event来实现事件的同步和通信。使用uvm_event需要以下步骤: 1. 定义uvm_event对象:可以在UVM组件定义一个uvm_event对象,例如: ``` class my_component extends uvm_component; uvm_event my_event; // ... endclass ``` 2. 触发事件:可以使用uvm_event的trigger方法来触发事件,例如: ``` my_event.trigger(); ``` 3. 等待事件:可以使用uvm_event的wait方法来等待事件的发生,例如: ``` my_event.wait(); ``` 4. 清除事件:可以使用uvm_event的reset方法来清除事件,例如: ``` my_event.reset(); ``` 使用uvm_event可以方便地实现UVM组件之间的同步和通信,提高测试的效率和可靠性。 ### 回答2: UVM是基于SystemVerilog的测试框架,uvm_event是其的一种事件类,在测试环境用于同步不同任务之间的执行顺序,以及发送和接收消息等。在UVM使用uvm_event可以实现多种功能,例如信号量、锁、通知等。 使用uvm_event需要实例化一个类对象,可以在测试环境的构造函数进行初始化。然后可以通过wait和trigger等方法来进行事件的等待和触发。 一个简单的示例代码如下: // 定义uvm_event对象 class my_event extends uvm_event; // 在测试环境实例化对象 class my_env extends uvm_env; my_event my_event_inst; ... function new(string name, uvm_component parent); super.new(name, parent); my_event_inst = new(); endfunction // 特定任务在触发事件后,等待事件 task spec_task; ... my_event_inst.wait(); // 处理事件触发后的任务 ... endtask // 某些情况下,需要等待一段时间后才触发事件 task spec_wait_task; ... #10ns; my_event_inst.trigger(); ... endtask endclass 在上面的代码示例,我们首先定义了一个名为my_event的类,继承自uvm_event基类。然后在测试环境实例化了一个my_event对象,并分别在特定任务进行事件的等待和触发。其spec_task任务在等待事件之前会执行一些处理,而spec_wait_task任务则是在等待10ns之后触发事件,这可以模拟某些情况下需要等待一段时间才能继续执行的情况。 总结来说,uvm_event在UVM的使用需要实例化一个类对象,并使用wait和trigger等方法来进行事件的等待和触发。使用uvm_event可以实现多种功能,例如信号量、锁、通知等,能够方便地同步不同任务之间的执行顺序,以及发送和接收消息等。 ### 回答3: 在UVMuvm_event是用于通知和同步活动的对象,可以让我们在测试环境实现有效的异步事件处理。一般情况下,它用于在测试的多个线程或组件之间进行通信,并允许它们在需要时等待事件的发生。以下是在UVM使用uvm_event的步骤: 1. 声明uvm_event 声明一个uvm_event对象,以便多个线程或组件可以在需要时使用并等待其发生。可以在UVM组件的类定义声明该对象。 2. 触发事件 在适当的地方(通常是UVM组件),可以启动事件。例如,一个任务或函数可能会启动一个uvm_event,以使其他组件或线程能够等待该事件的发生。这可以通过调用event.trigger()来完成。 3. 等待事件 在需要等待uvm_event发生的地方,可以使用event.wait()。这将阻塞当前线程,直到事件被触发。一般情况下,我们不会在主测试线程使用event.wait(),因为这样会导致整个测试被阻塞。 4. 销毁事件 在整个测试期间,可以在不同的时刻启动和销毁uvm_event。通常,在组件完成使用该事件后,应该调用event.reset()对其进行重置以备再次使用,或者可以调用event.kill()来销毁该事件。 总之,uvm_event是在UVM测试环境实现异步通信和同步活动的重要对象。了解如何使用uvm_event不仅有助于管理测试的线程和组件,还可以提高测试的效率和准确性。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小鱼爱学习,每天好心情

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值