入门UVM验证方法学

1 验证的本质:尽可能的找出设计的bug;

2  测试向量文件

测试文件(testbench)以模拟的方式来验证逻辑时序的正确性,以源的方式来激励用户编写的逻辑功能模块;

3  验证的3要素

(1)灌激励:输入信号
(2)集响应:输出信号
(3)作比较:比较

4  验证平台的发展

Verilog  ->  C/C++  ->  System C  ->  System Verilog( 有高级语音的兼容性,时序的兼容) 

5  验证方法学(UVM)

(1)制定了一种标准的规范
(2)提供底层库
这些方法 :
1:通用 -> 可重用性
2:高效 -> 随机性 解决 :Regression (回归) 、 coverage(覆盖率) 

6  验证平台的发展

7  简单的UVM平台

 

8  完整UVM的验证平台 

 

DUTUVM直接的通信需要interface;

2 UVM的各个Component(组件) 之间采用基于TLM的发送通过数据包的方式通信;

3  Sequence和sequencer  启动方式包括:自启动和手动启动;

9   UVM树 

 

10  UVM运行的机制

Phase机制:(阶段)

1.模块直接是并行的
2.模块内是串行的的 

 

  • 17
    点赞
  • 141
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值