基于verilog的一位比较器

请思考如何用 case 语句写出比较电路:
推出一个1位较大数判断电路的真值表
用 case 语句编写判断电路
1、给出程序
2、给出 RTL 图
3、给出仿真结果
程序
module cy4(input A,B,
output reg F1,F2,F3
);
always @(*)
begin
case({A,B})
2’b00: begin
F1 = 1; F2 = 0; F3 = 0;
end
2’b01: begin
F1 = 0; F2 = 0; F3 = 1;
end
2’b10: begin
F1 = 0; F2 = 1; F3 = 0;
end
2’b11: begin
F1 = 1; F2 = 0; F3 = 0;
end
default:;
endcase
end
endmodule

3、RTL 视图
在这里插入图片描述

4、仿真波形

请添加图片描述
请添加图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值