自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(11)
  • 收藏
  • 关注

原创 跨时钟域的同步问题

两级同步电路http://www.cnblogs.com/IClearner/p/6485389.html 这个博主讲解的还是很有意思的呢, 配合这个,简短的讲解: http://dengkanwen.com/238.html ,,, 那么问题来了,sync cell的sim jitter 是在抖动什么呢? sim jitter 和clk jitter有什么差别呢?

2017-07-31 12:00:37 4430

原创 Virtual sequence 和test 启动的关系

这是一个UVM的基本结构问题。sequecne的启动先理解一个sequence 的启动机制吧。白书第二章有讲解。 对于一个sequence,在环境中的任意一处实际调用sequence.start就可以启动啦。 但是一般会在前后加上raise/drop_objection().这就又是,objection机制了,在程序进入到某一个phase时,UVM会收集这个phase 所有的objection,

2017-07-30 18:11:50 1711

原创 UVM-regmodel

为什么要用reg model?依据白书,寄存器模型是为了方便环境中组件、如refence model 或 scoreboard 等对寄存器的操控而设定的组件。例如从ref model中向DUT读值的时候,就必须采取前门访问,必须考虑两个问题1,如何操纵参考模型来控制发送启动sequence,以读取reg的值?A:设置全局事件,在refmodel 中触发这一事件,在virtu

2017-07-18 14:12:54 2004

原创 验证结构中IMonitor的作用

DUT  的 input 端口采用的monitor 是干嘛的呢?根据白书:   一,大型项目中,driver 根据协议发送数据,而monitor根据协议接收数据。如果driver和monitor由不同人实现,那么可以大大减少其中任意一方对协议理解的错误。二,便于复用???另外,我的一点理解是:用于判断是否真的将输入,打入了DUT。????就一般环境,IF与dri

2017-07-17 21:50:58 951

原创 IC Flow

http://www.360doc.com/content/13/0426/11/8804122_281039874.shtml系统级设计阶段 :根据产品需求,确定待设计芯片,选择工艺、封装、面积、功耗、接口等各方面要求 定义架构、对模块功能进行划分、选择合适IP前端设计:完成R2N 的过程:RTL –>netlist 。 设计和功能验证 设计:模块设计/系统集成: spyglass 做语

2017-07-16 17:17:02 1446

原创 cshell脚本抓取文件

一个cshell脚本#!/bin/bash touch regexcl.list ##cshell 列表的用法folder_list=(/vobs/cp_rtl-host/test/src/toplevel/lmu \ /vobs/cp_rtl-host/test/src/toplevel/host_spcu )#foreach ({folder_list[i]}

2017-07-16 14:47:34 851

原创 python 写excel(1)

这个脚本完成的内容是:读取一个log中的内容,将其中的部分内容获取,并按照一定规则将其填入excelimport re,osimport xlrdimport xlwtfrom xlwt import *from xlutils.copy import copygen_excel_file = "./find_force.log"class gen_excel: def __ini

2017-07-16 12:18:08 275

原创 关于virtual interface

关于VIF 张强书中如是将:那么如何在driver中使用interface呢?一种想法是在driver中声明如下语句,然后再通过赋值的形式将top_tb中的input_if传递给它:代码清单 2-14class my_driver extends uvm_driver; my_if drv_if; …endclass读者可以试一下,这样的使用方式是会报语法错误的,因为my_driv

2017-07-12 21:59:18 5347

原创 SV之OOP基础知识

Transaction t1 t2 ;t1= new();t2=t1;t1=new();声明句柄:申明指向某一对象的句柄t1,t2中。 创建对象:构造函数new为对象分配空间,并将其地址保存在t1这个句柄中。 传递对象:将t1句柄的对象也传给t2,这时,t1和t2指向同一对象。 创建新对象:这时t1指向新对象,t2仍指向旧对象。静态变量一个类中的静态变量,可以被这个类的所有实例共享,并且

2017-07-11 11:43:50 6875

原创 SV function

写了一个function,借此谈谈 对SV 子程序的理解。//函数function automatic void check_read32 (ref int unsigned rdata , bit [31:0] check_value [], input stri

2017-07-10 19:17:08 2911

原创 输入参数,research,读写文件

写了个python小脚本。对其中方法做个小注解。#!/usr/bin/python##READ ME# search_string.py is a python script , which output string search result base on input file.#first argument : input log file : src_log_folder/confi

2017-07-07 17:34:21 275

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除