System Verilog学习10——验证环境的结构和组件

1.测试平台

测试平台(testbench)是整个验证系统的总称。它包括验证结构中的各个组件、各个组件的连接关系、测试平台的配置和控制。

从更系统的意义来讲,它还包括编译仿真的流程、结果分析报告和覆盖率检查等。

从狭义上讲,我们主要关注验证平台的结构和组件,他们可以产生设计需要的各种输入,在此基础上完成对设计部分的检查。

特点:

各个组件之间相互独立

验证组件与设计之间需要连接

验证组件之间也需要通信

验证环境需要时钟与复位信号的驱动

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值