数字验证学习笔记——SystemVerilog芯片验证9 ——类和对象的概述

一、类和对象的概述

对象编程语言更符合人对自然的理解。这个世界是由无数的类(class)和对象(object)构成的。类是将相同的个体抽象出来的描述方式,对象是实体,其具备有独立行为的能力,一个对象是万千世界中的“一粒沙”。具有相同属性和功能的对象属于同一类,而不同的类之间可能有联系或者没有联系。

面向对象编写三要素:封装、继承和多态。
类的定义核心即是属性声明和方法定义,所以类是数据和方法的自洽体,既可以保存数据也可以处理数据。struct只是 单纯的数据保存,没有数据处理的能力。

验证为什么需要面向对象的编程。
验证环境的不同组件功能和所需要处理的数据内容是不相同的。
不同环境的同一类组件所具备的功能和数据内容是相似的。

第一个transaction事务类
//类是方法和数据的自洽体
class Transaction;
bit [31:0] addr,crc,data[8]; //类定义变量,是动态变量,是软件里面的变量
function void display;
$dispaly(“Transaction: %h”,addr);
endfuction : dispaly
function void clac_crc;
crc=addr^data.xor;
endfuction : clac_crc
endclass:Transaction

面向对象编程的概念要素

  • class 类: 基本模块包含成员变量和方法。在Verilog中module也可以包含变量和方法,只不过它是硬件的盒子,而class是软件的盒子。
  • object对象:类的实例。Verilog中module也可以例化,这是硬件的例化,在SV中可以使用class来例化,这是软件的例化。
  • handle句柄(指针):用来指向对象的指针。在verilog中,可以通过层次化的索引来找到结构中的设计实例(例如 A.B.sigX),而在SV的对象索引时,需要通过句柄来索引对象的变量和方法。
  • Property属性(变量):在类中声明存储数据的变量。在verilog中它可以时wire和reg类型。
  • Method方法:类中可以使用task或者function来定义方法以便处理或者外部传入的数据。在verilog中可以在module定义task/function,也可以使用initial/always处理数据。

创建对象
Transaction tr; //声明句柄
tr = new(); // 创建对象 类的实例 也可以 Transaction tr = new();

创建对象?它创建了什么呢?
开辟了新的内存空间,用来存放新的成员变量和方法

创建对象时,可以通过自定义构建函数new()来完成变量的初始化和其他操作。
class Transaction;
bit [31:0] addr,crc,data[8];
function new();
addr=3;
foreach(data(i))
data(i) =5 ;
endfuction
endclass

构建函数new()时系统预定义函数,不需要指定返回值,函数会隐约地返回例化后的对象指针。

句柄的传递
Transaction t1,t2;//声明句柄t1、t2 悬空的句柄是空的
t1=new(); //例化对象,将其句柄赋予t1
t2=t1; // 将t1值赋予t2,即t1和t2指向同一个对象
t1=new(); //例化第二对象,将其句柄赋予t1

对象的销毁
当一个对象,在整个程序中没有一个地方再“需要”它时,它便会销毁。这里的需要指的是句柄指向该对象。

class word;
byte nb[];
function new( int i)
nb=new[i]; //动态数组的赋值是new[]
endfuction
endclass

initial begin
word wd;
for(int i=1; i<=4 ; i++)
wd=new(i); //前3个对象没有句柄
end
为对象的例化开辟需要4byte

可以通过句柄来使用对象中的成员变量或者成员方法
Transaction t;// 声明句柄
t=new(); //例化对象
t.addr=32’h42; //对象的成员变量赋初值
t.display();//调用对象成员的方法

静态变量
在class中声明的变量默认为动态变量,其生命周期始于对象创建终于对象销毁
使用static来声明class的变量,则为静态变量。静态变量的生命周期始于编译阶段,贯穿整个仿真阶段
如果在类中声明了静态变量,那么可以直接引用该变量class::var。类中的静态变量声明之后,无论例化多少个对象,
只可以共享一个同名的静态变量,因此静态变量在使用时需要注意共享资源的保护
class Transaction;
static int count=0;
int id;
function new();
id=count++;
endfuction
endclass

Transaction t1,t2;
initial begin
t1=new();// id=0 count=1
t2=new();// id=1 count=2
$dispaly(" Second id=%d ,count=%d “, t2.id, Transaction :: count); // id=1 count=2
end
静态方法
class 定义的方法默认为是动态方法,可以通过添加关键词static变为静态方法。
静态方法禁止使用类的动态变量。
class Transaction;
static Config cfg;
static int count = 0 ;
int id;
//通过静态方法调用静态变量
static function void display_statics();
$dispaly(” Transaction Cfg.mode =%s,count=%d ",cfg.mode.name(),count);
endfunction
endclass

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 《SystemVerilog路科验证v2学习笔记》是一本非常全面深入的书籍,对于学习SystemVerilog语言及验证方法学习者是非常有帮助的。 本书详细介绍了SystemVerilog语言的基础知识和高级特性,包括数据类型、运算符、流控制语句、函数、任务、类、接口等内容,同时紧密结合实际应用场景,给出了大量的示例和案例,让读者能够深入理解语言的特性和应用。 在验证方法方面,本书介绍了常用的验证方法,包括自动化验证、端到端验证、仿真验证和硬件验证方法等。并且配合测试实践,详细介绍常用的验证步骤,例如验证计划、验证环境设计、测试用例生成、仿真调试等。 除此之外,本书还介绍了SystemVerilog中非常重要的Constrained Random Verification方法,包括约束对象、约束条件等内容的详细介绍。并且还介绍了常用的SystemVerilog验证库,例如UVM等内容。 本书内容丰富、实用性强,对于想要了解SystemVerilog语言和验证方法的读者来说,是一本非常不错的参考资料。但读者在阅读本书的时候,需要具备一定的硬件系统知识和编程基础,这样能够更好的理解及学习本书的知识内容。 ### 回答2: 路科验证v2学习笔记是一本全面介绍SystemVerilog验证语言的畅销书籍,共计600页。本书由作者路科阅读SystemVerilog语言规范,并将其实践经验结合编写而成。书中内容包含SystemVerilog语言的基础、高级语法、重要特性以及实际应用等方面,特别适合初学者和有一定基础的验证工程师阅读。 在基础部分,作者详细介绍了SystemVerilog语言的数据类型、操作符、数据结构及宏定义等内容,还介绍了模块的声明、端口、实例化及连接等基本使用方法。在高级语法部分,作者介绍了SystemVerilog中常用的语法,如过程、任务、函数、静态存储类、重载及继承等。另外,作者还介绍了异步时序控制器、顺序时序控制器、交互验证及UVM使用方法等内容。在实际应用方面,作者给出了一系列SystemVerilog验证实例,涉及到寄存器验证、串口验证、DMA验证、AXI验证等多个领域。 总之,如果您是SystemVerilog的初学者或想进一步学习这门语言,这本书可以作为一本好的学习笔记。 ### 回答3: SystemVerilog是一种硬件描述语言,主要用于硬件验证和设计。而路科验证v2学习笔记就是一本详尽的SystemVerilog学习资料,全书共600页,内容涵盖了从SystemVerilog基础语法到高级验证技术的全面介绍。 该书以工程实例为主线,结合实际项目经验,详细讲解了SystemVerilog语言的各个方面,包括数据类型、运算符、流程控制语句、函数、任务、类、接口、时序建模、仿真调试等。同时,本书还特别强调了SystemVerilog中重要的验证特性,如断言、覆盖率、约束随机等。 此外,路科验证v2学习笔记还提供了大量的实战练习,通过实践加深读者对SystemVerilog的理解和应用能力。同时,作者还介绍了常用的EDA工具、仿真平台和验证方法,帮助读者快速掌握实际应用技能。 总之,路科验证v2学习笔记是一本完整、系统、实用的SystemVerilog学习资料,适合各类硬件设计和验证工程师、从业人员、硬件爱好者及学生使用。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值