dds学习笔记

DDS Compiler (6.0) 学习笔记

本文章是自己在学习使用ddsIP核时做的学习笔记,欢迎大家讨论

1.DDS基本原理

将正余弦数据存在ROM里,以相位做地址,读取幅值的值,读取速率不能变,通过调整读取相位的步进值(即相位累加值PINC)来调整采样的点数,进一步调整读取到(即输出)的正余弦频率;
在这里插入图片描述

2.DDS原理流程图

在这里插入图片描述

3.IP核配置

3.1首页,configuration页

在这里插入图片描述

3.1.1 Configuration Options 有三个选项,分别是相位产生器和SIN COS查找表、单独的相位产生器、单独的sin cos,一般选择第一个,就是利用IP核生成一个频率相位可调的正余弦发生器;
3.1.2 System Requirements
这一栏是自己配置DDS的IP核所匹配的系统参数
1)System clock 及系统时钟,该IP核的输入参考时钟;
2)Number of channels 通道数,一般默认为1,只有在下文Rasterized模式下需要配置;
3)Mode of operation 分为standard 和rasterized,一般选用standard模式;选择模式不同,配置系统参数的方法就不同;(此处参考该文章开头52–vivado DDS IP核的使用_Frosty flame的博客-CSDN博客)
Standard 模式下,输出信号频率f_out、参考时钟频率f_clk、相位累加值(PINC)∆θ、相位累加值位宽B_(θ(n))的关系为
f_out=(f_clk ∆θ)/2^(B_(θ(n)) )
一般根据此公式推导相位累加值(PINC)和输出频率,根据一个推另一个;
Rasterized模式下,输出信号频率f_out、参考时钟频率f_clk、相位累加值(PINC)∆θ、通道数C、设定module参数M的关系为
∆θ=(〖Cf〗_outM)/f_clk
一般根据此公式推导相位累加值(PINC)和输出频率,根据一个推另一个;
4)Parameter selection
参数选择又分为Hardware Parameter 和 System Parameter两种,一般选择Hardware Parameter配置更加方便;
Hardware Parameter 模式下,会出现Noise Shaping噪声整形选项,这里选择是否需要泰勒校正从查找表读取的数值,一般选择None;配置页面的第三大部分会变为相位位宽和输出位宽,注意这俩都指的是IP核输出的,Phase width指的是输出的相位宽度信息,output width 指的是输出的数据位宽;相位位宽一般默认16位即可,数据位宽要与工程要求相符,一般采用16位;
System Parameter 模式下,配置页面的第三大部分会变为系统参数设置
Spurious Free Dynamic Range(SFDR)、Frequency Resolution(频率分辨率)、Noise Shaping(需不需要整形噪声选择自动模式就行)
SFDR与数据输出位宽相关,根据想要的数据输出位宽,对照下表,导出此处的SFDR数值;Frequency Resolution是与相位输出位宽、及前面所选的C通道数相关,根据想要的相位输出位宽,导出此处的Frequency Resolution数值;
在这里插入图片描述

3.2第二页implementation实现页配置

第一、二栏为相位累加值(PINC)和相位偏移量(POFF)控制模式选择,这里可以选择fixed固定值、Programmable可修改、streaming(这个没太搞明白);一般选择可修改,输入端就会出现config的各种信号,在valid有效时可对PINC和POFF进行配置,根据前面PINC与输出信号频率关系来配置得到想要的频率波形;注意选择为可更改模式之后,输入的控制信号线上的对应关系如图;若都可更改高16位对应POFF,低16位对应PINC(不用管unsed位)
在这里插入图片描述

第三栏为输出选择,sin、cos、sin&cos根据需要选择即可,需要注意在输出的output_data数据线上,sin\cos的位置关系如图;
在这里插入图片描述

Polarity:选择输出正余弦的极性,一般不勾选,Ampulitude mode 默认Full range;
Has Phase Out 根据需求选择,看是否需要观察输出相位波形;
Implementation options 是指实现DDS这个IP核时候所用存储器、DSP模块匹配等,Auto就行;

3.3第三页Detailed Implementation详细实现配置页面

前两栏是指AXI总线传输模式配置,全部默认
第三栏可选择配置时钟有效信号和复位信号,根据需要配置即可;

3.4接下来两页根据首页配置的不同的参数选择模式,有不同的两页

当前面选择硬件参数模式时,会有Phase Angle Increment Values(相位累计初值)和Phase Angle Offset Values(相位偏移初值)两页,可直接输入初始的PINC和POFF(注意是二进制);
当前面选择的是系统参数模式时,会有Output Frequencies(输出频率设置) 和 Phase Offset Angle(相位偏移量)两页,可直接输入想要的频率和相位偏移,注意输出频率要满足前面与SFDR相关的计算公式;

3.5倒数第二页Summary 汇总页面可查看自己所配置的IP核的总览信息

在这里插入图片描述

3.6最后一页additional summary附加页可查看在自己配置下默认输出频率;

下图为仿真完成所查看到的波形,分别为1MHz和0.1MHz的正余弦波形;
备注:仿真时候,选择输出sin cos数据类型为有符号十进制数才能输出正确波形!!!
另外在使用modelsim独立仿真时,一定要注意检查copy过去的IP核中sim文件要正确
在这里插入图片描述

在这里插入图片描述

参考:
1.https://blog.csdn.net/keilzc/article/details/104146629
2. https://blog.csdn.net/weixin_43773312/article/details/103964418
3. https://blog.csdn.net/weixin_43323123/article/details/106442473
4.b站小梅哥DDS原理详解
5.官方手册pg141,通过这次学习也学会了抓住官方手册上需要的重点关注的信息,还要不断练习,学会看官方手册。

  • 5
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值