IC验证的个人思考-重载机制

文章讲述了多态从C语言的无多态,经过C++的类封装和方法重载,再到系统验证语言SV的约束重载,最终在UVM中的工厂机制实现对象和组件的instance与name重载的演进过程。这一演进旨在降低开发复杂度,提升代码复用和验证效率。
摘要由CSDN通过智能技术生成

【多态】重载是逐步实现的,是实现多态的手段

C --------->C++ --------->SV---------->UVM

演进过程:

C:是数据和方法分离的,无多态

C++:将数据和方法封装成一个类,多态,仅涉及:方法

SV:在C++的基础上,新增:约束的重载

UVM:factory机制,新增:可以出现object+componet两种类型的instance和name重载

目的:降低开发难度,提高可复用性、代码质量、验证效率

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值