【持续更新】基础知识普及及纠错

1:DUT (Design under Test) 的由来
仿真测试 与 Verilog HDL代码的设计都是同步进行的,而每一个Verilog HDL代码的正确与否,都是建立在“验证结果”是否符合预期的波形基础上,
综上所述,正可谓之 设计 是 基于 测试的;由此引出 业界常用的一个词汇:DUT ;


2:我之前
一直将 Testbench文件 和 Tcl脚本文件混淆。
  一直将 Testbench文件很孤立的看待,潜意识里认为,testeench文件就是一个独立的文件,FPGA编程仿真里需要的文件,有了就行,不需要过度关注;
  
  实际上,Testbench文件 作为 Modelsim的激励文件,是自成体系的;
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值