Vivado 约束

步骤5:保存约束
约束管理是设计流程的重要一步,Vivado设计套件
为您提供了在现有约束文件中添加新约束、覆盖的灵活性
现有约束,或创建新的约束文件以跟踪设计更改或完成
缺少约束。
您为设计创建了一些定时异常,但这些异常仅存在于内存中
而且还没有放在磁盘上。您需要将异常保存到timing.xdc文件中。
1.在Vivado的“源”窗口中,双击“约束”→“lab1”下的timing.xdc。
2.滚动到文件底部,注意set_false_path和set_multicycle_path
文件中不存在约束。这也反映在定时约束编辑器中,如
<saved_constraints>,如下图所示。

3.单击保存约束按钮或使用文件→约束→保存命令
主菜单。
4.单击timing.xdc选项卡横幅中的Reload链接,从以下位置重新加载约束文件
磁盘。请注意,timing.xdc文本中现在可以看到假路径和多循环路径
文件,如下图所示。

注意:Tcl变量_xlnx_shared_i0由Vivado自动推断为共享相同的集合
多个时间约束之间的对象。

  • 4
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值